cst-to-llhd/test/addition.v

19 lines
268 B
Coq
Raw Normal View History

2022-07-19 20:15:56 +08:00
module addition
#(
parameter DSIZE = 8
)
(
input [DSIZE-1:0] a,
input [DSIZE-1:0] b,
output [DSIZE-1:0] c
);
assign c = a + b;
endmodule
module top(
input [3:0] a,
input [3:0] b,
output [3:0] c
);
addition#(.DSIZE(4)) i(a, b, c);
endmodule