Go to file
Zihao Yu 9d324b6fb7 build.sc: fix scalaVersion for chisel3 2024-06-28 18:20:07 +08:00
.github/workflows init 2021-05-25 15:45:37 +00:00
src reorganize source directory 2024-04-10 13:42:09 +08:00
.gitignore trace code 2024-04-10 13:42:52 +08:00
.scalafmt.conf init 2021-05-25 15:45:37 +00:00
Makefile Makefile: fix unknown argument "-t" with newer version of mill 2024-04-11 00:59:31 +08:00
README.md add README 2021-05-26 00:35:19 +08:00
build.sc build.sc: fix scalaVersion for chisel3 2024-06-28 18:20:07 +08:00

README.md

Chisel Project Template

Another version of the Chisel template supporting mill. mill is another Scala/Java build tool without obscure DSL like SBT. It is much faster than SBT.

Contents at a glance:

  • .gitignore - helps Git ignore junk like generated files, build products, and temporary files.
  • build.sc - instructs mill to build the Chisel project
  • Makefile - rules to call mill
  • playground/src/GCD.scala - GCD source file
  • playground/src/DecoupledGCD.scala - another GCD source file
  • playground/src/Elaborate.scala - wrapper file to call chisel command with the GCD module
  • playground/test/src/GCDSpec.scala - GCD tester

Feel free to rename or delete files under playground/ or use them as a reference/template.

Getting Started

First, install mill by referring to the documentation here.

To run all tests in this design (recommended for test-driven development):

make test

To generate Verilog:

make verilog