build.sc: fix scalaVersion for chisel3

This commit is contained in:
Zihao Yu 2024-06-28 18:20:07 +08:00
parent faa05bb84c
commit 9d324b6fb7
1 changed files with 1 additions and 1 deletions

View File

@ -11,7 +11,7 @@ import mill.bsp._
object playground extends SbtModule with ScalafmtModule { m => object playground extends SbtModule with ScalafmtModule { m =>
val useChisel3 = false val useChisel3 = false
override def millSourcePath = os.pwd / "src" override def millSourcePath = os.pwd / "src"
override def scalaVersion = "2.13.12" override def scalaVersion = if (useChisel3) "2.13.10" else "2.13.12"
override def scalacOptions = Seq( override def scalacOptions = Seq(
"-language:reflectiveCalls", "-language:reflectiveCalls",
"-deprecation", "-deprecation",