Go to file
mikeurbach 9b2331e10f
Add Python bindings for the RTL dialect. (#767)
2021-03-23 20:13:49 -06:00
.github/workflows Add Python bindings for the RTL dialect. (#767) 2021-03-23 20:13:49 -06:00
.vscode Add some more flags to the example workspace (#233) 2020-11-11 12:50:54 -08:00
cmake/modules Fix circt-doc target (#385) 2021-01-07 14:03:44 +05:30
codeowners [ESI] Basic system modeling for Elastic Silicon Interfaces (#175) 2020-10-27 15:57:20 -07:00
docs Add Python bindings for the RTL dialect. (#767) 2021-03-23 20:13:49 -06:00
include Add Python bindings for the RTL dialect. (#767) 2021-03-23 20:13:49 -06:00
integration_test Add Python bindings for the RTL dialect. (#767) 2021-03-23 20:13:49 -06:00
lib Add Python bindings for the RTL dialect. (#767) 2021-03-23 20:13:49 -06:00
llvm@f178c13fa8 Update LLVM (#792) 2021-03-19 11:33:33 -07:00
test [firtool] Run canonicalize on the firrtl dialect *after* lower types, not before it. 2021-03-23 17:39:54 -07:00
tools [firtool] Run canonicalize on the firrtl dialect *after* lower types, not before it. 2021-03-23 17:39:54 -07:00
utils Add Python bindings for the RTL dialect. (#767) 2021-03-23 20:13:49 -06:00
.clang-format Improve the README to include some contributor notes, clang-format 2020-03-15 17:59:29 -07:00
.clang-tidy Adds clang-tidy to the workflow (#128) 2020-10-08 13:52:58 -07:00
.gitignore Enable test running by copying lit configs from build 2020-12-20 14:34:37 -08:00
.gitmodules Relative path for llvm submodule (#211) 2020-11-06 12:26:00 -08:00
CMakeLists.txt [cmake] Adding an option to produce a standalone install (#786) 2021-03-18 15:07:24 -07:00
LICENSE [Global] Clarify license as Apache 2.0 with LLVM Exceptions (#56) 2020-07-26 11:53:57 -07:00
README.md [DOCS] Split most of README.md to GettingStarted.md (#638) 2021-02-23 10:54:38 +05:30

README.md

Nightly integration tests

"CIRCT" / Circuit IR Compilers and Tools

"CIRCT" stands for "Circuit IR Compilers and Tools". One might also interpret it as the recursively as "CIRCT IR Compiler and Tools". The T can be selectively expanded as Tool, Translator, Team, Technology, Target, Tree, Type, ... we're ok with the ambiguity.

The CIRCT community is an open and welcoming community. If you'd like to participate, you can do so in a number of different ways:

  1. Join our Discourse Forum on the LLVM Discourse server. To get a "mailing list" like experience click the bell icon in the upper right and switch to "Watching". It is also helpful to go to your Discourse profile, then the "emails" tab, and check "Enable mailing list mode". You can also do chat with us on CIRCT channel of LLVM discord server.

  2. Join our weekly video chat. Please see the meeting notes document for more information.

  3. Contribute code. CIRCT follows all of the LLVM Policies: you can create pull requests for the CIRCT repository, and gain commit access using the standard LLVM policies.

Motivation

The EDA industry has well-known and widely used proprietary and open source tools. However, these tools are inconsistent, have usability concerns, and were not designed together into a common platform. Furthermore these tools are generally built with Verilog (also VHDL) as the IRs that they interchange. Verilog has well known design issues, and limitations, e.g. suffering from poor location tracking support.

The CIRCT project is an (experimental!) effort looking to apply MLIR and the LLVM development methodology to the domain of hardware design tools. Many of us dream of having reusable infrastructure that is modular, uses library-based design techniques, is more consistent, and builds on the best practices in compiler infrastructure and compiler design techniques.

By working together, we hope that we can build a new center of gravity to draw contributions from the small (but enthusiastic!) community of people who work on open hardware tooling. In turn we hope this will propel open tools forward, enables new higher-level abstractions for hardware design, and perhaps some pieces may even be adopted by proprietary tools in time.

For more information, please see our longer charter document.

Setting this up

These commands can be used to setup CIRCT project:

  1. Install Dependencies of LLVM/MLIR according to the instructions, including cmake and ninja.

  2. Check out LLVM and CIRCT repos. CIRCT contains LLVM as a git submodule. The LLVM repo here includes staged changes to MLIR which may be necessary to support CIRCT. It also represents the version of LLVM that has been tested. MLIR is still changing relatively rapidly, so feel free to use the current version of LLVM, but APIs may have changed.

$ git clone git@github.com:circt/circt.git
$ cd circt
$ git submodule init
$ git submodule update

Note: The repository is set up so that git submodule update performs a shallow clone, meaning it downloads just enough of the LLVM repository to check out the currently specified commit. If you wish to work with the full history of the LLVM repository, you can manually "unshallow" the the submodule:

$ cd llvm
$ git fetch --unshallow
  1. Build and test LLVM/MLIR:
$ cd circt
$ mkdir llvm/build
$ cd llvm/build
$ cmake -G Ninja ../llvm \
    -DLLVM_ENABLE_PROJECTS="mlir" \
    -DLLVM_TARGETS_TO_BUILD="X86;RISCV" \
    -DLLVM_ENABLE_ASSERTIONS=ON \
    -DCMAKE_BUILD_TYPE=DEBUG
$ ninja
$ ninja check-mlir
  1. Build and test CIRCT:
$ cd circt
$ mkdir build
$ cd build
$ cmake -G Ninja .. \
    -DMLIR_DIR=$PWD/../llvm/build/lib/cmake/mlir \
    -DLLVM_DIR=$PWD/../llvm/build/lib/cmake/llvm \
    -DLLVM_ENABLE_ASSERTIONS=ON \
    -DCMAKE_BUILD_TYPE=DEBUG
$ ninja
$ ninja check-circt
$ ninja check-circt-integration # Run the integration tests.

The -DCMAKE_BUILD_TYPE=DEBUG flag enables debug information, which makes the whole tree compile slower, but allows you to step through code into the LLVM and MLIR frameworks.

To get something that runs fast, use -DCMAKE_BUILD_TYPE=Release or -DCMAKE_BUILD_TYPE=RelWithDebInfo if you want to go fast and optionally if you want debug info to go with it. Release mode makes a very large difference in performance.

Consult the Getting Started page for detailed information on configuring and compiling CIRCT.