BusBlocker: add RegFieldDescs to the control port (#1839)

This commit is contained in:
Megan Wachs 2019-02-12 20:08:06 -08:00 committed by Henry Cook
parent f924d1cff3
commit c3e03be0f5
1 changed files with 6 additions and 2 deletions

View File

@ -36,8 +36,12 @@ class BasicBusBlocker(params: BasicBusBlockerParams)(implicit p: Parameters)
val pending = RegNext(bar.module.io.pending)
controlNode.regmap(
0 -> Seq(RegField (32, allow)),
4 -> Seq(RegField.r(32, pending)))
0 -> Seq(RegField (32, allow,
RegFieldDesc("allow",
"Used to enable/disable bus transactions", reset=Some(1)))),
4 -> Seq(RegField.r(32, pending, RegFieldDesc("pending",
"Indicates if bus transactions are in-flight", volatile=true)))
)
bar.module.io.bypass := !allow
}