From 0faadb75d6cb7834b55f4eb091c80eedd89c6c8e Mon Sep 17 00:00:00 2001 From: David Biancolin Date: Fri, 28 Jun 2019 18:49:03 +0000 Subject: [PATCH] [FireChip] Update BOOM based targets to use new SubSystem --- generators/firechip/src/main/scala/Generator.scala | 0 generators/firechip/src/main/scala/TargetMixins.scala | 1 - generators/firechip/src/main/scala/Targets.scala | 10 +++++----- 3 files changed, 5 insertions(+), 6 deletions(-) mode change 100755 => 100644 generators/firechip/src/main/scala/Generator.scala mode change 100755 => 100644 generators/firechip/src/main/scala/Targets.scala diff --git a/generators/firechip/src/main/scala/Generator.scala b/generators/firechip/src/main/scala/Generator.scala old mode 100755 new mode 100644 diff --git a/generators/firechip/src/main/scala/TargetMixins.scala b/generators/firechip/src/main/scala/TargetMixins.scala index 1c38ce2..5544376 100644 --- a/generators/firechip/src/main/scala/TargetMixins.scala +++ b/generators/firechip/src/main/scala/TargetMixins.scala @@ -10,7 +10,6 @@ import freechips.rocketchip.util._ import freechips.rocketchip.subsystem._ import freechips.rocketchip.rocket.TracedInstruction import firesim.endpoints.{TraceOutputTop, DeclockedTracedInstruction} -import boom.system.BoomSubsystem import midas.models.AXI4BundleWithEdge import midas.targetutils.ExcludeInstanceAsserts diff --git a/generators/firechip/src/main/scala/Targets.scala b/generators/firechip/src/main/scala/Targets.scala old mode 100755 new mode 100644 index 3a565c6..c907704 --- a/generators/firechip/src/main/scala/Targets.scala +++ b/generators/firechip/src/main/scala/Targets.scala @@ -11,7 +11,7 @@ import freechips.rocketchip.util.{HeterogeneousBag} import freechips.rocketchip.amba.axi4.AXI4Bundle import freechips.rocketchip.config.{Field, Parameters} import freechips.rocketchip.diplomacy.LazyModule -import boom.system.{BoomSubsystem, BoomSubsystemModuleImp} +import boom.system.{BoomRocketSubsystem, BoomRocketSubsystemModuleImp} import icenet._ import testchipip._ import testchipip.SerialAdapter.SERIAL_IF_WIDTH @@ -80,7 +80,7 @@ class FireSimNoNICModuleImp[+L <: FireSimNoNIC](l: L) extends RocketSubsystemMod with HasTraceIOImp -class FireBoom(implicit p: Parameters) extends BoomSubsystem +class FireBoom(implicit p: Parameters) extends BoomRocketSubsystem with HasDefaultBusConfiguration with CanHaveFASEDOptimizedMasterAXI4MemPort with HasPeripheryBootROM @@ -94,7 +94,7 @@ class FireBoom(implicit p: Parameters) extends BoomSubsystem override lazy val module = new FireBoomModuleImp(this) } -class FireBoomModuleImp[+L <: FireBoom](l: L) extends BoomSubsystemModuleImp(l) +class FireBoomModuleImp[+L <: FireBoom](l: L) extends BoomRocketSubsystemModuleImp(l) with HasRTCModuleImp with CanHaveFASEDOptimizedMasterAXI4MemPortModuleImp with HasPeripheryBootROMModuleImp @@ -106,7 +106,7 @@ class FireBoomModuleImp[+L <: FireBoom](l: L) extends BoomSubsystemModuleImp(l) with HasTraceIOImp with ExcludeInvalidBoomAssertions -class FireBoomNoNIC(implicit p: Parameters) extends BoomSubsystem +class FireBoomNoNIC(implicit p: Parameters) extends BoomRocketSubsystem with HasDefaultBusConfiguration with CanHaveFASEDOptimizedMasterAXI4MemPort with HasPeripheryBootROM @@ -119,7 +119,7 @@ class FireBoomNoNIC(implicit p: Parameters) extends BoomSubsystem override lazy val module = new FireBoomNoNICModuleImp(this) } -class FireBoomNoNICModuleImp[+L <: FireBoomNoNIC](l: L) extends BoomSubsystemModuleImp(l) +class FireBoomNoNICModuleImp[+L <: FireBoomNoNIC](l: L) extends BoomRocketSubsystemModuleImp(l) with HasRTCModuleImp with CanHaveFASEDOptimizedMasterAXI4MemPortModuleImp with HasPeripheryBootROMModuleImp