Go to file
Chandler Carruth 463394752b Whitelist operator== and operator!= as valid for unused value warnings,
even when overloaded and user-defined. These operators are both more
valuable to warn on (due to likely typos) and extremely unlikely to be
reasonable for use to trigger side-effects.

llvm-svn: 137823
2011-08-17 09:49:44 +00:00
clang Whitelist operator== and operator!= as valid for unused value warnings, 2011-08-17 09:49:44 +00:00
compiler-rt Don't redeclare sr. 2011-07-28 23:15:41 +00:00
debuginfo-tests Testcase for r133065 2011-06-15 17:57:23 +00:00
libcxx Fix needle-in-haystack bug found by Walter Brown 2011-08-15 17:22:22 +00:00
libcxxabi reformatted to match Clang style; thanks to John McCall for the nudge 2011-08-15 18:06:47 +00:00
lldb When defining a scripted command, it is possible to provide a docstring and that will be used as the help text for the command 2011-08-17 01:30:04 +00:00
llvm Introduce matching patterns for vbroadcast AVX instruction. The idea is to 2011-08-17 02:29:19 +00:00
polly www: Updating memaccess Documentation 2011-08-15 09:37:46 +00:00