fixup argument

llvm-svn: 21680
This commit is contained in:
Andrew Lenharth 2005-05-04 14:58:31 +00:00
parent 1e520fd661
commit 5be6f131e6
1 changed files with 3 additions and 3 deletions

View File

@ -3071,7 +3071,7 @@ The '<tt>llvm.ctpop</tt>' intrinsic counts the number of ones in a variable.
<h5>Arguments:</h5>
<p>
The only argument is the value to be counted.
The only argument is the value to be counted. The argument may be of any integer type.
</p>
<h5>Semantics:</h5>
@ -3103,7 +3103,7 @@ The '<tt>llvm.cttz</tt>' intrinsic counts the number of trailing zeros.
<h5>Arguments:</h5>
<p>
The only argument is the value to be counted.
The only argument is the value to be counted. The argument may be of any integer type.
</p>
<h5>Semantics:</h5>
@ -3136,7 +3136,7 @@ The '<tt>llvm.ctlz</tt>' intrinsic counts the number of leading zeros in a varia
<h5>Arguments:</h5>
<p>
The only argument is the value to be counted.
The only argument is the value to be counted. The argument may be of any integer type.
</p>
<h5>Semantics:</h5>