hanchenye-llvm-project/llvm/projects/Stacker/test/nip.st

7 lines
100 B
Smalltalk
Raw Normal View History

2003-11-24 02:12:22 +08:00
#
# NIP test
#
FORWARD success;
FORWARD failure;
: MAIN 1 2 NIP 2 = IF success ELSE failure ENDIF ;