# Changelog This changelog follows the format defined here: https://keepachangelog.com/en/1.0.0/ ## [1.17.1] - 2023-07-14 Fix missing mcs file in VCU118 bitstream_tar. Automatically generate release notes for faster releases. CI improvements. ### Added - Bucket log docs (by @joey0320 in https://github.com/firesim/firesim/pull/1575) - Add release note automation (by @abejgonzalez in https://github.com/firesim/firesim/pull/1595) ### Changed - Use bar-tender specific access (by @abejgonzalez in https://github.com/firesim/firesim/pull/1558) - CI modifications - Support Vivado 2022.1, New CI machine(s) (by @abejgonzalez in https://github.com/firesim/firesim/pull/1592) ### Fixed - Fix VCU118 bitstream_tar missing mcs file (by @abejgonzalez in https://github.com/firesim/firesim/pull/1592) - Additional VCU118 initial setup fixes (by @sagark in https://github.com/firesim/firesim/pull/1606) **Full Changelog:** https://github.com/firesim/firesim/compare/1.17.0...main ## [1.17.0] - 2023-06-16 Support for several new local FPGA boards added: Xilinx VCU118 (w/XDMA), Xilinx Alveo U250/U280 (w/XDMA, in addition to previous Vitis support), RHSResearch NiteFury II (w/XDMA). FireSim now also supports Xcelium for metasims. ### Added * Manager support for custom TARGET_PROJECT by @sagark in https://github.com/firesim/firesim/pull/1495 * Bare Xilinx U250/U280 shell support by @bgottschall @davidmetz @abejgonzalez in https://github.com/firesim/firesim/pull/1497 * Buildbitstream CI by @abejgonzalez in https://github.com/firesim/firesim/pull/1458 * FireSim Support for Xilinx VCU118 by @sagark in https://github.com/firesim/firesim/pull/1507 * add mcs command to implementation script for u250 by @kevindna in https://github.com/firesim/firesim/pull/1518 * Xcelium + Verilog-as-Top by @abejgonzalez in https://github.com/firesim/firesim/pull/1527 * Add U250/VCU118 bitstream builds to CI by @abejgonzalez in https://github.com/firesim/firesim/pull/1522 * Support building U280 in CI by @abejgonzalez in https://github.com/firesim/firesim/pull/1544 * FireSim Support for RHSResearch Nitefury II + various fixes by @sagark in https://github.com/firesim/firesim/pull/1525 ### Changed * Update Makefile to be non-parallel by @abejgonzalez in https://github.com/firesim/firesim/pull/1488 * Dedup CI uartlog checking | Add more checks by @abejgonzalez in https://github.com/firesim/firesim/pull/1489 * Bump to chisel3.5.6/latest rocketchip by @jerryz123 in https://github.com/firesim/firesim/pull/1476 * Clear screen before prompt by @abejgonzalez in https://github.com/firesim/firesim/pull/1491 * Update sample_config_hwdb.yaml for Gemmini build by @abejgonzalez in https://github.com/firesim/firesim/pull/1490 * Create separate security group for build/run farm instances that is only accessible from within the firesim VPC by @sagark in https://github.com/firesim/firesim/pull/1492 * Bump Verilator to 5.006 by @abejgonzalez in https://github.com/firesim/firesim/pull/1471 * Rename SerialBridge to TSIBridge by @jerryz123 in https://github.com/firesim/firesim/pull/1500 * bump aws-fpga for fix when using exactly 3 of 4 mem channels by @sagark in https://github.com/firesim/firesim/pull/1505 * EC2 AMI update by @joey0320 in https://github.com/firesim/firesim/pull/1517 * Split buildbitstream tag into two tags by @abejgonzalez in https://github.com/firesim/firesim/pull/1528 * Update CI workflow to use login shell | Misc. cleanup by @abejgonzalez in https://github.com/firesim/firesim/pull/1532 * Update xclbin(s) for PR #1530 (`bumprc-bitstream`) by @github-actions in https://github.com/firesim/firesim/pull/1533 * Bump to latest rocketchip by @jerryz123 in https://github.com/firesim/firesim/pull/1526 * Use fat jar to reduce SBT invocations instead of cached classpath by @abejgonzalez in https://github.com/firesim/firesim/pull/1529 * Update AGFI(s) for PR #1536 (`revert-tv-wide`) by @github-actions in https://github.com/firesim/firesim/pull/1538 * Touch *.jar assembly files by @abejgonzalez in https://github.com/firesim/firesim/pull/1540 * conda-lock=1.4, Loosen/restrict conda req. specs by @abejgonzalez in https://github.com/firesim/firesim/pull/1539 * Update AGFI(s) for PR #1525 (`nitefury_ii`) by @github-actions in https://github.com/firesim/firesim/pull/1545 * Update AGFI(s) for PR #1543 (`rename-scripts`) by @github-actions in https://github.com/firesim/firesim/pull/1546 * Rename sourceme-f1-manager.sh to sourceme-manager.sh by @sagark in https://github.com/firesim/firesim/pull/1543 * Update local bitstream(s) for PR #1525 (`nitefury_ii`) by @github-actions in https://github.com/firesim/firesim/pull/1548 * fix fased useHardwareDefaults setting bug by @PKUZHOU in https://github.com/firesim/firesim/pull/1499 * Revert "Expand TracerV to support more than 7 IPC (#1383)" by @abejgonzalez in https://github.com/firesim/firesim/pull/1536 * Misc. CI Cleanup - Local Cleanup Parallelism + Clobbered Buildbitstream PRs by @abejgonzalez in https://github.com/firesim/firesim/pull/1551 * Update AGFI(s) for PR #1525 (`nitefury_ii`) by @github-actions in https://github.com/firesim/firesim/pull/1553 * Update local bitstream(s) for PR #1525 (`nitefury_ii`) by @github-actions in https://github.com/firesim/firesim/pull/1554 ### Fixed * Fix conda lockfile docs / make lockfile generation easier by @t14916 in https://github.com/firesim/firesim/pull/1478 * Dedup CI uartlog checking | Add more checks by @abejgonzalez in https://github.com/firesim/firesim/pull/1489 * Fix open files only on sudo by @abejgonzalez in https://github.com/firesim/firesim/pull/1493 * update assert in timingmodel to allow BURST_FIXED w/len=0 by @sagark in https://github.com/firesim/firesim/pull/1496 * Fix first-time-user setup docs by @sagark in https://github.com/firesim/firesim/pull/1498 * Fix Scala test on machines with multiple simulators by @abejgonzalez in https://github.com/firesim/firesim/pull/1474 * fix main.o build dependency on generated const.h by @sagark in https://github.com/firesim/firesim/pull/1504 * Fix `buildbitstream` CI issues + Add `xclbin` bitstream generation by @abejgonzalez in https://github.com/firesim/firesim/pull/1508 * Misc. U250/U280 FPGA Fixes by @abejgonzalez in https://github.com/firesim/firesim/pull/1502 * Various Fixes by @abejgonzalez in https://github.com/firesim/firesim/pull/1521 * Misc. Fixes (Downgrade cryptography package, pin packages, fix non-firesim make project compilation) by @abejgonzalez in https://github.com/firesim/firesim/pull/1534 * Bump CY by @abejgonzalez in https://github.com/firesim/firesim/pull/1555 * automatically try newer hotfix versions of AMI in manager by @sagark in https://github.com/firesim/firesim/pull/1559 * Local FPGA managerinit QoL Fixes by @sagark in https://github.com/firesim/firesim/pull/1561 * changed mmap to xdma_user instead of pci resource by @cyyself in https://github.com/firesim/firesim/pull/1564 ## [1.16.0] - 2023-03-23 Vitis documentation updates, re-work of FireSim driver code, URI support for tarball/xclbins, Various bumps ### Added * Vitis: support different bitstream build strategies by @davidbiancolin in https://github.com/firesim/firesim/pull/1270 * vitis: Support frequency settings provided at bitstream build by @davidbiancolin in https://github.com/firesim/firesim/pull/1281 * Adding support for Azure CI by @t14916 in https://github.com/firesim/firesim/pull/1262 * Add apply method that takes ReferenceTarget parameter in RAMStyleHint by @russell-horvath in https://github.com/firesim/firesim/pull/1306 * adding a Plusargs Bridge, with unit tests and TutorialSuite tests by @sifive-benjamin-morse in https://github.com/firesim/firesim/pull/1291 * Add CI typechecking by @abejgonzalez in https://github.com/firesim/firesim/pull/1325 * Added UART bridge test by @nandor in https://github.com/firesim/firesim/pull/1326 * Added SimpleRocketF1Tests by @nandor in https://github.com/firesim/firesim/pull/1330 * Add an Assert-mode for TerminationBridge; expand testing by @davidbiancolin in https://github.com/firesim/firesim/pull/1324 * Introduced an interface to capture the user-defined logic of a simuation by @nandor in https://github.com/firesim/firesim/pull/1328 * Added a test for the BlockDevBridge by @nandor in https://github.com/firesim/firesim/pull/1335 * Added a root widget class and a low-overhead RTTI mechanism by @nandor in https://github.com/firesim/firesim/pull/1382 * Added a bridge registry to own all bridge instances by @nandor in https://github.com/firesim/firesim/pull/1369 * Added a test for memory accesses and LoadMemWidget by @nandor in https://github.com/firesim/firesim/pull/1433 * Add scalaFix by @sifive-benjamin-morse in https://github.com/firesim/firesim/pull/1393 * Add VCS metasimulation to CI by @abejgonzalez in https://github.com/firesim/firesim/pull/1396 * Add CI for Vitis driver outside of FPGA sims by @abejgonzalez in https://github.com/firesim/firesim/pull/1414 * Add reports and checkpoints section to vitis readme by @russell-horvath in https://github.com/firesim/firesim/pull/1412 * Add option to the F1 driver to load an AGFI by @nandor in https://github.com/firesim/firesim/pull/1434 * Add URI support to tarball path and xclbin path by @sifive-benjamin-morse in https://github.com/firesim/firesim/pull/1432 * Add ci:persist-prior-workflows tag to allow prior workflow to run until completion by @sifive-benjamin-morse in https://github.com/firesim/firesim/pull/1449 * Add uartlog checking to Linux boots in CI by @abejgonzalez in https://github.com/firesim/firesim/pull/1454 * Add `build_farm_tag` field to AWS EC2 build farm recipe by @abejgonzalez in https://github.com/firesim/firesim/pull/1457 * Add `buildfarmprefix` to AWS resource dict by @abejgonzalez in https://github.com/firesim/firesim/pull/1462 * Add PyTest docs by @abejgonzalez in https://github.com/firesim/firesim/pull/1269 * Put instPath before label in AutoCounter output by @timsnyder-siv in https://github.com/firesim/firesim/pull/1274 * Add workshop info to README.md by @sagark in https://github.com/firesim/firesim/pull/1411 * Bump to latest rocket-chip/scala2.13 by @jerryz123 in https://github.com/firesim/firesim/pull/1392 * Bump SBT to 1.8.2 by @abejgonzalez in https://github.com/firesim/firesim/pull/1446 * Support AL2 manager instances by @abejgonzalez in https://github.com/firesim/firesim/pull/1460 * AL2 Auto-Setup NICE DCV by @abejgonzalez in https://github.com/firesim/firesim/pull/1468 * Expand TracerV to support more than 7 IPC by @sifive-benjamin-morse in https://github.com/firesim/firesim/pull/1383 ### Changed * Expose frequency in the builddcp script by @russell-horvath in https://github.com/firesim/firesim/pull/1229 * Match field order in channel info by @nandor in https://github.com/firesim/firesim/pull/1264 * Localize Java temp directory + Revert to using `sbt-launch.jar` by @abejgonzalez in https://github.com/firesim/firesim/pull/1257 * build setup: make env.sh sourcable in contexts without conda functions by @davidbiancolin in https://github.com/firesim/firesim/pull/1285 * Relaxed restrictions on pipe channel types by @nandor in https://github.com/firesim/firesim/pull/1263 * Move XDC circuit paths to config by @fabianschuiki in https://github.com/firesim/firesim/pull/1308 * Moved chipyard tests to main FireSim repository by @nandor in https://github.com/firesim/firesim/pull/1314 * Rewrite MIDAS tests by @nandor in https://github.com/firesim/firesim/pull/1327 * Eliminate virtual inheritance and simplify tests by @nandor in https://github.com/firesim/firesim/pull/1323 * Remove references to buildafi; replace with buildbitstream by @davidbiancolin in https://github.com/firesim/firesim/pull/1287 * Move termination to Run Farm `Inst` + `monitor_jobs` small rework by @abejgonzalez in https://github.com/firesim/firesim/pull/1322 * Provided tests with their own random number generator by @nandor in https://github.com/firesim/firesim/pull/1338 * Moved MMIO struct type definitions to headers by @nandor in https://github.com/firesim/firesim/pull/1331 * Moved widget logic to individual classes by @nandor in https://github.com/firesim/firesim/pull/1339 * Switch VCS simulation over to DPI by @nandor in https://github.com/firesim/firesim/pull/1332 * Introduced a uniform harness over bridge tests by @nandor in https://github.com/firesim/firesim/pull/1336 * Separated testing from peek-poke logic by @nandor in https://github.com/firesim/firesim/pull/1341 * VCS and Verilator DPI switchover by @nandor in https://github.com/firesim/firesim/pull/1348 * Improve the names of synchronisation primitives in `simif_emul` by @nandor in https://github.com/firesim/firesim/pull/1364 * Replace AXI4 configuration with structures by @nandor in https://github.com/firesim/firesim/pull/1358 * Split TutorialSuite into multiple files and helpers by @nandor in https://github.com/firesim/firesim/pull/1355 * Introduced a uniform harness across all simulations by @nandor in https://github.com/firesim/firesim/pull/1342 * Moved constructor macros to the constructor header by @nandor in https://github.com/firesim/firesim/pull/1359 * Eliminate random number generation from simif by @nandor in https://github.com/firesim/firesim/pull/1367 * Split `target-agnostic.mk` into multiple files by @nandor in https://github.com/firesim/firesim/pull/1353 * Stream Engine IO interfaces by @nandor in https://github.com/firesim/firesim/pull/1366 * Split timing functions from simif.h by @nandor in https://github.com/firesim/firesim/pull/1371 * Split `simulation_t` from simif.h by @nandor in https://github.com/firesim/firesim/pull/1372 * [library] Re-organised library file structure by @nandor in https://github.com/firesim/firesim/pull/1361 * Remove the use of DPI utilities from dpi.cc by @nandor in https://github.com/firesim/firesim/pull/1379 * Separate XSIM from f1 into `simif_xsim` by @nandor in https://github.com/firesim/firesim/pull/1374 * Split project `Makefrag` into multiple components by @nandor in https://github.com/firesim/firesim/pull/1354 * Cache the classpath between SBT runs by @nandor in https://github.com/firesim/firesim/pull/1390 * Enable clang-tidy on C++ sources by @nandor in https://github.com/firesim/firesim/pull/1400 * Moved simulation step control to the PeekPoke bridge by @nandor in https://github.com/firesim/firesim/pull/1399 * [library] Introduced a unique main to the simulation. by @nandor in https://github.com/firesim/firesim/pull/1368 * Passed memory region offsets to genHeader by @nandor in https://github.com/firesim/firesim/pull/1416 * Removed the compiler-generated runtime config by @nandor in https://github.com/firesim/firesim/pull/1422 * Re-enabled timeout detection for harnesses by @nandor in https://github.com/firesim/firesim/pull/1423 * Moved non-host IF functions from `simif_t` into `simulation_t` by @nandor in https://github.com/firesim/firesim/pull/1424 * Restored the runtime config generation phase by @nandor in https://github.com/firesim/firesim/pull/1425 * Remove `constructor.h` and replace it with a Scala-generated header by @nandor in https://github.com/firesim/firesim/pull/1398 * Enabled scalafmt on more sources by @nandor in https://github.com/firesim/firesim/pull/1429 * VCS post-synthesis RTL simulators by @nandor in https://github.com/firesim/firesim/pull/1438 * Extended tests to work with post-synth RTL by @nandor in https://github.com/firesim/firesim/pull/1439 * Converted FASEDMemoryTimingModel into a bridge by @nandor in https://github.com/firesim/firesim/pull/1440 * Move bridge init/finish handling into the simulation base by @nandor in https://github.com/firesim/firesim/pull/1441 * Removed `test_harness_bridge` and simplified harnesses by @nandor in https://github.com/firesim/firesim/pull/1442 * Bump Conda to 22.11.1-4 by @abejgonzalez in https://github.com/firesim/firesim/pull/1481 * New Local FPGA Tutorial by @abejgonzalez in https://github.com/firesim/firesim/pull/1453 * FPGA-managed bridge stream support in metasimulation by @davidbiancolin in https://github.com/firesim/firesim/pull/1181 * Setup defaults to be single-node by @abejgonzalez in https://github.com/firesim/firesim/pull/1260 * Changed "firesim infrasetup" to deploy using a tarball. by @sifive-benjamin-morse in https://github.com/firesim/firesim/pull/1299 * Switch to py script for XRT shell flashing by @abejgonzalez in https://github.com/firesim/firesim/pull/1385 * Update Vitis docs | Bump FPGA platform to 2022.1 by @abejgonzalez in https://github.com/firesim/firesim/pull/1397 * Force using 2022.1 Vitis by @abejgonzalez in https://github.com/firesim/firesim/pull/1410 * Localize `.ivy2` and `.sbt` folders to FireSim repo by @abejgonzalez in https://github.com/firesim/firesim/pull/1456 * Unpin most conda reqs now that we have a lockfile by @abejgonzalez in https://github.com/firesim/firesim/pull/1451 ### Fixed * Do not materialize a stream engine if no streams are used by @nandor in https://github.com/firesim/firesim/pull/1430 * Do not materialize memory connections if the target does not use them by @nandor in https://github.com/firesim/firesim/pull/1431 * Introduced a full verilator/vcs/debug matrix by @nandor in https://github.com/firesim/firesim/pull/1435 * Re-enable compilation of the Dromajo bridge by @nandor in https://github.com/firesim/firesim/pull/1384 * Bump CI to Ubuntu 20.04 + new checkout action by @abejgonzalez in https://github.com/firesim/firesim/pull/1265 * remove unused import RocketTilesKey (copy #1278) by @mergify in https://github.com/firesim/firesim/pull/1279 * fix vcs metasims by @sagark in https://github.com/firesim/firesim/pull/1280 * aws-fpga: avoid invocations of git clean by @davidbiancolin in https://github.com/firesim/firesim/pull/1283 * Fix and Register TargetUtils + Midas Scala Tests in CI by @davidbiancolin in https://github.com/firesim/firesim/pull/1284 * manager: fix string interpolation in buildconfigfile by @davidbiancolin in https://github.com/firesim/firesim/pull/1288 * Manager: Add back InfoStreamLoggers to buildbitstream related tasks by @davidbiancolin in https://github.com/firesim/firesim/pull/1292 * fixed runners for midas / targetutils tests by @t14916 in https://github.com/firesim/firesim/pull/1294 * Fix SimUtils tests by @nandor in https://github.com/firesim/firesim/pull/1295 * Fix Reset Synchronizer For InitValues == 0 by @davidbiancolin in https://github.com/firesim/firesim/pull/1296 * Fix MCRAMs optimization with more strict FPGA backend passes by @russell-horvath in https://github.com/firesim/firesim/pull/1298 * Fix C++ compiler warnings by @nandor in https://github.com/firesim/firesim/pull/1302 * AutoCounter: Add cinttypes to autocounter.h for format specifier bug by @davidbiancolin in https://github.com/firesim/firesim/pull/1304 * Regenerate AGFIs to fix references to removed FXXMHz classes by @davidbiancolin in https://github.com/firesim/firesim/pull/1300 * CI Cleanup: Camel-case AWS CI variables by @abejgonzalez in https://github.com/firesim/firesim/pull/1321 * CI Cleanup: Fix deprecations + Remove extra whitespace by @abejgonzalez in https://github.com/firesim/firesim/pull/1320 * CI Rework: More Aggressive Culling Of FPGA Resources, Slack/PR Notifications by @abejgonzalez in https://github.com/firesim/firesim/pull/1316 * Remove raw pointers from bridge port address creation by @nandor in https://github.com/firesim/firesim/pull/1309 * Fixed invalid memory access of MMIO port addresses by @nandor in https://github.com/firesim/firesim/pull/1317 * Slightly increased timeouts of fpga jobs in CI by @t14916 in https://github.com/firesim/firesim/pull/1333 * Fixed firesim.bridges CI tests by @nandor in https://github.com/firesim/firesim/pull/1334 * Fix documentation on Vitis deploy manager by @abejgonzalez in https://github.com/firesim/firesim/pull/1343 * Fixed GCC/Clang compilation issues by @nandor in https://github.com/firesim/firesim/pull/1360 * Fix a memory leak in the serial bridge by @nandor in https://github.com/firesim/firesim/pull/1373 * Fix Valgrind false positives by @nandor in https://github.com/firesim/firesim/pull/1376 * Fix Assorted Scala warnings by @sifive-benjamin-morse in https://github.com/firesim/firesim/pull/1378 * Fixes needed to support Scala 2.13 by @sifive-benjamin-morse in https://github.com/firesim/firesim/pull/1388 * Fix metasim due to tarball deployment and add CI by @abejgonzalez in https://github.com/firesim/firesim/pull/1387 * Fixed platform configs not being applied by @nandor in https://github.com/firesim/firesim/pull/1394 * Fix Vitis CI by @abejgonzalez in https://github.com/firesim/firesim/pull/1344 * Fixes for Chisel 3.6 support by @sifive-benjamin-morse in https://github.com/firesim/firesim/pull/1395 * Applied clang-tidy fixes by @nandor in https://github.com/firesim/firesim/pull/1402 * Fix loadmem bug in `firesim_tsi.cc` by @jerryz123 in https://github.com/firesim/firesim/pull/1401 * Fix incremental builds triggered by scala changes by @nandor in https://github.com/firesim/firesim/pull/1408 * Fix Vitis driver compilation + Fix CY-as-top driver builds by @abejgonzalez in https://github.com/firesim/firesim/pull/1409 * Fix Vitis driver CI check by @abejgonzalez in https://github.com/firesim/firesim/pull/1415 * Fixed missing array include by @nandor in https://github.com/firesim/firesim/pull/1417 * bump aws-fpga to remove bloat files in hdk/cl/examples + fix typo by @russell-horvath in https://github.com/firesim/firesim/pull/1406 * Fix wiring of unused ports by @nandor in https://github.com/firesim/firesim/pull/1437 * Fix replace-rtl ordering problem by @nandor in https://github.com/firesim/firesim/pull/1444 * Fix uartlog checking in CI for Linux boot by @abejgonzalez in https://github.com/firesim/firesim/pull/1467 * [SimWrapper] Remove unused SimReadyValidRecord; NFC by @fabianschuiki in https://github.com/firesim/firesim/pull/1337 * manager: update paramiko date threshold by @davidbiancolin in https://github.com/firesim/firesim/pull/1357 * bump aws-fpga w/ Route 35-1 and Synth-8-6340 warning promotion by @russell-horvath in https://github.com/firesim/firesim/pull/1391 * Use the new config filename in bitbuilder logging by @caizixian in https://github.com/firesim/firesim/pull/1413 * Test for existing TracerV bridge including trigger modes by @sifive-benjamin-morse in https://github.com/firesim/firesim/pull/1426 * Update doc of `always_expand_run_farm` for rename by @timsnyder-siv in https://github.com/firesim/firesim/pull/1427 * pickup fab-classic#77 by bumping to 1.19.2 by @timsnyder-siv in https://github.com/firesim/firesim/pull/1443 * Dedup. CI Requirements by @abejgonzalez in https://github.com/firesim/firesim/pull/1445 * Don't hash dict in CI by @abejgonzalez in https://github.com/firesim/firesim/pull/1450 * Revert to UInt64 for offsetConst in SerialBridge by @abejgonzalez in https://github.com/firesim/firesim/pull/1463 * Update .gitignore for .ivy2/.sbt by @abejgonzalez in https://github.com/firesim/firesim/pull/1465 * Bump Chipyard + Update Vitis Xclbin + AWS AGFIs by @abejgonzalez in https://github.com/firesim/firesim/pull/1466 * Bump conda-reqs | Bump Chipyard by @abejgonzalez in https://github.com/firesim/firesim/pull/1470 * Use FREQUENCY as a prereq in Vitis builds by @abejgonzalez in https://github.com/firesim/firesim/pull/1472 * firesim gemmini tutorial configs by @sagark in https://github.com/firesim/firesim/pull/1480 * Fix typos in Vitis docs by @ncppd in https://github.com/firesim/firesim/pull/1483 ## [1.15.1] - 2022-10-18 Fixes to metasimulation, TracerV, and improved cross-platform support. ### Added * sourceme-f1-manager.sh now has a --skip-ssh-setup argument for users who have pre-set ssh-agent config #1266 ### Changed * Instance liveness check now checks to see if login shell is reasonable #1266 * Driver/Metasim build at runtime now executed via run() to avoid conda warnings #1266 * Setup for QCOW2 on a run farm is only performed if the simulation needs it #1266 * The sim launch command is now written to a file before being executed for easier debugging. #1266 ### Fixed * Fix missing code in RuntimeBuildRecipeConfig that broke metasims #1266 * Hide warnings from sudo check, guestmount, etc. #1266 * Open file limit increased by default in machine-launch-script to work around buildroot bug. #1266 * TracerV: fix loop bounds in token processing #1249 ## [1.15.0] - 2022-09-30 Full migration to Conda-based environment/dependency management; Chipyard now also uses Conda. Bump Rocket Chip/Chisel/etc. Various bugfixes/feature improvements. ### Added * Refactor Conda + Bump Chipyard (which now uses Conda) #1206 * Support FPGA-managed AXI4/DMA in metasimulation #1191 ### Changed * Bump chipyard to 1.8.0 #1239 * Bump Rocketchip/chipyard/chisel #1216 * Metasimulation: remove dramsim2 and copy host memory model sources in-tree #1197 * Metasimulation: remove dependency on fesvr for ucontext #1196 * bridges: Remove references to DMA_X in driver sources #1184 * refactor most of machine-launch-script.sh into build-setup.sh #1180 * Backports go to stable branch, which should generally point to the la… #1176 * obey umask and default group in results-workload #1163 * Use libelf and libdwarf from conda #1160 * Improve fabric logging #1159 * Bump to Verilator 4.224 #1156 * ci: support running under forks of firesim #1144 * Allowed bridge parameters to be serialized #1141 * Don't use tsnyder conda channel in production machine-launch-script.sh #1121 * Make bug report system info copy pastable #1104 ### Fixed * manager: Cast AWS IDs to string in shareagfi #1227 * Stable backport of 1.12.1 AMI bump #1188 * Fix various VCS metasimulation breakages #1177 * Change elfutils submodule URL to HTTPS #1153 * Annotate Printf statements instead of intercepting parameters. #1151 * Deinit Chipyard's FireSim submodule under FireSim-as-top #1146 * add config_build_recipes.yaml to run_yamls pytest fixture #1143 * Fix mount files ownership #1137 * Add warn_only to vivado builds + Postpone error until all builds complete #1130 * Added missing return in tracerv_t::process_tokens to fix undefined behavior #1129 * correct doc for autocounter_csv_format #1126 * Fixing instructions for external SSH into simulation #1119 * docs: fix underlining in metasimulation configuration section #1106 * Fixed shebang in build-libdwarf.sh and build-libelf.sh scripts (copy #1101) #1105 * VitisShell: Use XPM xpm_cdc_sync_rst for reset synchronizer #1100 ### Removed * Removed the clock bridge annotation #1224 * Removed the in-memory bridge annotation #1223 * Removed the Fame1Instances transformation #1202 ## [1.14.2] - 2022-08-30 Bump to use AWS FPGA Developer AMI 1.12.1 as 1.11.1 has been de-listed. This also bumps Vivado to 2021.2. ### Fixed * Bump to use AWS FPGA Developer AMI 1.12.1 * Bump Vivado to 2021.2 ## [1.14.1] - 2022-07-07 Adds firesim builddriver command, various bugfixes. ### Added * New firesim builddriver command, which runs required driver/metasimulation builds without a launched run farm #1114 * Support for Sydney region on AWS #1111 ### Changed * Docs cleanup #1114 #1106 * Don't use tsnyder conda channel in production machine-launch-script.sh #1121 ### Fixed * Fixed documentation for SSH-ing into simulations of target designs with NICs #1119. Fixes #580. * VitisShell: Use XPM xpm_cdc_sync_rst for reset synchronizer #1100 * Fix manager xclbin lookup bug during metasimulation #1114, https://groups.google.com/g/firesim/c/VxHX7QkKJCM ## [1.14.0] - 2022-06-18 Introduces support for local (on-premises) FPGAs and distributed metasimulation ### Added * Support for Vitis FPGAs #1087 * Manager support for deploying verilator/vcs metasimulations, plusarg passthrough, and some useful DRYing-out #1076 * ("Where to Run") Initial support running on different run farm hosts #1028 * A host-portable AutoILA transform that instantiates the black box in IR #1059 * Scala Source Formatting via Scalafmt #1060 * VSCode Integration for Scala Development #1056 * Support A Resource-Minimizing strategy ("AREA") for AWS-FPGA #1055 * XDC-Driven Memory Hints for Xilinx FPGAs #1021 * ("what-to-build") Modularize different run platforms (i.e. bitstream builds) #853 * .ini to .yaml config files + supporting different build hosts #1006 * Capture packet dump from switch #1011 ### Changed * Cleanup config initialization #1082 * Switch buildfarm API to be similar to runfarm API #1070 * ("Where to Run") Initial support running on different run farm hosts #1028 * Move C++ implementation of bridge streams out of bridge drivers #1017 * awstools typing + small organization #1037 * Collect Bridge Stream RTL Implementation under StreamEngine module #996 * Use conda for distribution-agnostic dependency management #986 * .ini to .yaml config files + supporting different build hosts #1006 * Use FIRRTL 'FPGA backend' passes in the GG compiler + Isolate Emitter #981 ### Fixed * Allow argument passing to bit builder #1046 * Move sim. data class arg parsing into classes #1078 * Hide blowfish deprecation warning until 2022-08-31 #1079 * Have yes/no resolve to bool in Yaml #1069 * Add bash-completion and install argcomplete global into it #1041 * Fix CI FPGA sim timeout issue + Use Python3 formatting in run_linux_poweroff CI script #1040 * Revert the change from #842 that makes launchrunfarm block on instances passing status checks #1003 * Fix first clone setup fast script #990 * Update libdwarf submodule url #988 * Update test_amis.json #982 ### Removed * Remove the data_t type alias + unused macros in generated header #1050 * .ini to .yaml config files + supporting different build hosts #1006 ## [1.13.6] - 2022-06-15 Last of the 1.13.x release series. CI fixes only, no user facing changes since 1.13.5 ### Fixed * CI fixes (scala doc push) related to git version. ## [1.13.5] - 2022-06-13 Critical fix to git package version in machine-launch-script.sh, only required for newly launched manager instances. ### Fixed * Bump git version specified in machine-launch-script.sh from git224 (no longer available) to git236. #1081 ## [1.13.4] - 2022-04-06 Critical fix to libdwarf submodule URL. Fix boto3 pagination in manager. Fix synth assert stop-printf pair detection. ### Fixed * update libdwarf submodule url #988 * Fix synthesized assertions stop-printf pair detection #999 * Use pagination for boto3 calls in the manager #991 ## [1.13.3] - 2022-03-01 More small updates to AMI string in deploy area. ### Fixed * Update AMI string in deploy to 1.11.1 #977 ## [1.13.2] - 2022-02-28 More small clarifications to the documentation. ### Fixed * Update AMI string in documentation to 1.11.1 #972 #973 ## [1.13.1] - 2022-02-27 Small clarifications to the documentation and fixes the FPGA simulation driver initialization. ### Fixed * Use `--skip-validate` in CI #957 #960 * Fix AWS FPGA init API (use `fpga_mpgmt_init`) #950 * Clarify AMI search term in documentation #967 ## [1.13.0] - 2022-02-15 Highlights include a bump to Chisel 3.5 & FIRRTL 1.5, Vivado 2020.2 & Developer AMI 1.10, considerable FPGA QoR optimizations, and standardized file emission stategy from Golden Gate (all file names described [here](https://docs.fires.im/en/1.13.0/Golden-Gate/Output-Files.html)). ### Added * A Basic Floorplan for DRAM Controllers #798 * A ResetPulseBridge to drive reset a conventional bridge #782 * This is used in place of peek poke to avoid an early deadlock condition. * A global reset condition to mask off events during reset #791 * Support for XDC Emission that is Hierarchy-Mutation Robust. #825 * Multi-cycle constraints to improve fmax on multiclock designs. #834 * Bake-in FASED default runtime configuration into hardware #889 ### Changed * Bumped to AMI 1.10 / AWS FPGA 1.4.19 / Vivado 2020.2 #788 * libelf + libdwarf now installed to a firesim-local sysroot @ sim/lib-install #806 * Improved host IFs to make it easier to define bridges with custom channelization #778 * Use a Standard File Emission Strategy #802 * Only use required DRAM channels to save FPGA resources #816 * Limit builddir directory name length by omitting chisel_triplet #826 * install ca-certificates for latest root certs #840 * Removed -o and -E options in Golden Gate's CLI #851 * Changed word addresses to byte addresses in drivers #857 * Provide a more informative env.sh on build-setup failure #885 * Use Published Dependencies For Chisel + FIRRTL #893 * Factor peek/poke out of simif_t #864 * Chisel 3.5 / FIRRTL 1.5 Bump using Published Deps #899 * Allow launchrunfarm to retry up to a specified timeout #940 ### Fixed * Reject non-hardware types in calls to PerfCounter & FPGADebug #865 * FASED elaboration error in AXI4 width adapter when using all host DRAM #881 * Driver Return Code #910 ### Removed * Source dependency on Barstools (ucb-bar/barstools) #803 * Misc FASED Chisel Utilities + GeneratorUtils + PlusArgReader Pass #812 * FIRRTL IR node helpers in midas.passes.util #811 * Boost Dependency #806 * WithAutoILA from default recipes #913 ## [1.12.0] - 2021-06-14 Updates default AGFIs to fully utilize multiclock support, fixes a gnarly FIRRTL deduplication interaction. ### Added * Promote passthrough optimization (#707) to improve FMR in multi-model targets * `firesim tar2afi --launchtime