diff --git a/sim/firesim-lib/src/main/scala/bridges/UARTBridge.scala b/sim/firesim-lib/src/main/scala/bridges/UARTBridge.scala index 51e14242..505a57b8 100644 --- a/sim/firesim-lib/src/main/scala/bridges/UARTBridge.scala +++ b/sim/firesim-lib/src/main/scala/bridges/UARTBridge.scala @@ -8,7 +8,7 @@ import chisel3.util._ import chisel3.experimental.{DataMirror, Direction} import freechips.rocketchip.config.Parameters import freechips.rocketchip.subsystem.PeripheryBusKey -import sifive.blocks.devices.uart.{UARTPortIO, PeripheryUARTKey, UARTParams} +import sifive.blocks.devices.uart.{UARTPortIO, UARTParams} //Note: This file is heavily commented as it serves as a bridge walkthrough //example in the FireSim docs