diff --git a/docs/Advanced-Usage/Debugging-and-Profiling-on-FPGA/autocounter-csv-format.csv b/docs/Advanced-Usage/Debugging-and-Profiling-on-FPGA/autocounter-csv-format.csv index d790631f..3bbbb7dd 100644 --- a/docs/Advanced-Usage/Debugging-and-Profiling-on-FPGA/autocounter-csv-format.csv +++ b/docs/Advanced-Usage/Debugging-and-Profiling-on-FPGA/autocounter-csv-format.csv @@ -3,7 +3,7 @@ clock info,domain name,multiplier,M,divisor,N labels,local_clock,label0,label1,...,labelN "description","local clock cycle","desc0","desc1",...,"descN" event width,1,width0,width1,...,widthN -acculator width,64,64,64,...,64 +accumulator width,64,64,64,...,64 type,Increment,type0,type1,...,typeN N ,cycle @ time N,value0 @ tN,value1 @ tN,...,value @ tN ...,...,...,...,...,..