Don't require the simplifier to solve this regression test

This commit is contained in:
Michael Tautschnig 2016-06-21 18:51:29 +00:00
parent e6a91275fb
commit 829068ffe7
1 changed files with 0 additions and 1 deletions

View File

@ -3,7 +3,6 @@ main.c
^EXIT=0$
^SIGNAL=0$
^Generated .* VCC\(s\), 0 remaining after simplification$
^VERIFICATION SUCCESSFUL$
--
^warning: ignoring