post script fix config n.

This commit is contained in:
kodario 2022-09-22 16:15:39 +02:00
parent 2920c645a2
commit b72d93a887
2 changed files with 22 additions and 22 deletions

View File

@ -16,35 +16,35 @@ open_project "$proj_dir/lynx.xpr"
# DYNAMIC LAYER
########################################################################################################
# Create additional configs
set k 1
while {[file isdirectory "$proj_dir/hdl/config_$k"]} {
incr k
set cn 1
while {[file isdirectory "$proj_dir/hdl/config_$cn"]} {
incr cn
}
file mkdir "$proj_dir/hdl/config_$k"
file mkdir "$proj_dir/hdl/wrappers/config_$k"
file mkdir "$proj_dir/hdl/config_$cn"
file mkdir "$proj_dir/hdl/wrappers/config_$cn"
# Call write HDL scripts
unset ::env(PYTHONPATH)
unset ::env(PYTHONHOME)
proc call_write_hdl {r_path i} {
set output [exec python3 "$r_path/write_hdl.py" 1 $k]
set output [exec python3 "$r_path/write_hdl.py" 1 $cn]
puts $output
}
call_write_hdl $build_dir $k
call_write_hdl $build_dir $cn
#source "$scripts_dir/wr_hdl/wr_hdl_user.tcl" -notrace
#for {set j 0} {$j < $cfg(n_reg)} {incr j} {
# wr_hdl_user_wrapper "$proj_dir/hdl/wrappers/config_$k/design_user_wrapper_c$k\_$j.sv" $j
# wr_hdl_user "$proj_dir/hdl/config_$k/design_user_logic_c$k\_$j.sv" $j
# wr_hdl_user_wrapper "$proj_dir/hdl/wrappers/config_$cn/design_user_wrapper_c$cn\_$j.sv" $j
# wr_hdl_user "$proj_dir/hdl/config_$cn/design_user_logic_c$cn\_$j.sv" $j
#}
# Create dynamic regions
for {set j 0} {$j < $cfg(n_reg)} {incr j} {
# Sources
set cmd "set files \[list \ "
append cmd "\[file normalize \"\$proj_dir/hdl/wrappers/config_$k/user_wrapper_c$k\_$j.sv\"] \ "
append cmd "\[file normalize \"\$proj_dir/hdl/config_$k/user_logic_c$k\_$j.sv\"] \ "
append cmd "\[file normalize \"\$proj_dir/hdl/wrappers/config_$cn/user_wrapper_c$cn\_$j.sv\"] \ "
append cmd "\[file normalize \"\$proj_dir/hdl/config_$cn/user_logic_c$cn\_$j.sv\"] \ "
append cmd "\[file normalize \"\$hw_dir/hdl/pkg/axi_intf.sv\"] \ "
append cmd "\[file normalize \"\$hw_dir/hdl/pkg/lynx_intf.sv\"] \ "
append cmd "\[file normalize \"\$hw_dir/hdl/pkg/axi_macros.svh\"] \ "
@ -57,20 +57,20 @@ for {set j 0} {$j < $cfg(n_reg)} {incr j} {
append cmd "]"
eval $cmd
# Create pr region
create_reconfig_module -name "design_user_wrapper_c$k\_$j" -partition_def [get_partition_defs "dynamic_$j" ] -top "design_user_wrapper_$j"
add_files -norecurse -scan_for_includes $files -of_objects [get_reconfig_modules "design_user_wrapper_c$k\_$j"]
create_reconfig_module -name "design_user_wrapper_c$cn\_$j" -partition_def [get_partition_defs "dynamic_$j" ] -top "design_user_wrapper_$j"
add_files -norecurse -scan_for_includes $files -of_objects [get_reconfig_modules "design_user_wrapper_c$cn\_$j"]
}
set cmd "create_pr_configuration -name config_$k -partitions \[list \ "
set cmd "create_pr_configuration -name config_$cn -partitions \[list \ "
for {set j 0} {$j < $cfg(n_reg)} {incr j} {
append cmd "inst_dynamic/inst_user_wrapper_$j:design_user_wrapper_c$k\_$j "
append cmd "inst_dynamic/inst_user_wrapper_$j:design_user_wrapper_c$cn\_$j "
}
append cmd "]"
eval $cmd
set n [expr {$k + 1}]
set cmd "create_run impl_$n -parent_run impl_1 -flow {Vivado Implementation 2018} -pr_config config_$k"
set n [expr {$cn + 1}]
set cmd "create_run impl_$n -parent_run impl_1 -flow {Vivado Implementation 2018} -pr_config config_$cn"
eval $cmd
set cmd "set_property STEPS.WRITE_BITSTREAM.ARGS.BIN_FILE true \[get_runs impl_$n]"

View File

@ -8,9 +8,9 @@ set proj_dir "$build_dir/$project"
########################################################################################################
# Extract the bitstreams
########################################################################################################
set k 1
while {[file isdirectory "$proj_dir/hdl/config_$k"]} {
incr k
set cn 1
while {[file isdirectory "$proj_dir/hdl/config_$cn"]} {
incr cn
}
exec rm -rf "$build_dir/bitstreams"
@ -22,11 +22,11 @@ exec cp "$proj_dir/lynx.runs/impl_1/top.bit" "$build_dir/bitstreams/top.bit"
# Dynamic images
if {$cfg(en_pr) eq 1} {
set i 1
while {[file isdirectory "$proj_dir/hdl/config_$k"]} {
while {[file isdirectory "$proj_dir/hdl/config_$cn"]} {
incr i
}
for {set j 0} {$j < $k} {incr j} {
for {set j 0} {$j < $cn} {incr j} {
set t [expr {$j + 1}]
file mkdir "$build_dir/bitstreams/config_$j"
for {set k 0} {$k < $cfg(n_reg)} {incr k} {