Go to file
Jiaxi Zhang 1f4b84637f
update readme.md
2021-10-15 13:52:08 +08:00
abclib implement 2-input LUT mapping. Decomposition failed! 2020-02-27 00:28:59 +08:00
benchmark add 10 cases in EPFL 2020-03-02 14:57:39 +08:00
include Upload local refinement 2021-10-14 21:32:43 +08:00
lib Add 8LUTlib 2021-10-14 21:32:43 +08:00
source Upload local refinement 2021-10-14 21:32:43 +08:00
.gitignore ignore 2020-01-07 13:33:32 +08:00
LICENSE add license 2021-10-15 01:09:17 +08:00
Makefile implement 2-input LUT mapping. Decomposition failed! 2020-02-27 00:28:59 +08:00
README.md update readme.md 2021-10-15 13:52:08 +08:00

README.md

Overview

A framework for dual-output LUT mapping.

How To Use

Clone project:

git clone https://github.com/pkuzjx/dom-fpga.git
cd dom-fpga

Compile the abc library : lib/libabc.a by https://github.com/berkeley-abc/abc ,and overwrite libabc.a.

-L./lib/ -labc
set ./lib/ to your libabc.a file location

Get bin DOLM

mkdir object
mkdir result
cd result
mkdir EPFL
mkdir ISACAS85
mkdir IWLS93
mkdir LGSynth91
cd ..
mkdir bin
make

Run an exmaple ./bin/DOLM [benchamrk set] [benchmark name]

./bin/DOLM EPFL adder

Get result

*.blif_abc_lut.blif.out is the answer of berkeley-abc

*.blif.out1 is the single-output result

*.blif.out2 is the dual-output result

reference:

@inproceedings{wang2020dual,
  title={Dual-Output LUT Merging during FPGA Technology Mapping},
  author={Wang, Feng and Zhu, Liren and Zhang, Jiaxi and Li, Lei and Zhang, Yang and Luo, Guojie},
  booktitle=ICCAD,
  pages={1--9},
  year={2020},
  organization={IEEE}
}