add toy examples

This commit is contained in:
Feng Wang 2020-02-19 10:21:27 +08:00
parent ee820e8ff2
commit 2a6ccb69cb
5 changed files with 1258 additions and 0 deletions

View File

@ -0,0 +1,380 @@
//
// Conformal-LEC Version 15.20-d227 ( 10-Mar-2016) ( 64 bit executable)
//
module top ( n0 , n1 , n2 , n3 , n4 , n6 , n7 , n8 , n9 , n10 , n11 , n12 , n13 , n14 , n15 , n16 , n17 , n18 , n19 , n20 , n21 , n22 , n23 , n24 , n25 , n26 , n27 , n28 , n29 , n30 , n31 , n32 , n33 , n34 , n35 , n36 , n37 , n38 , n39 , n40 , n41 , n42 , n43 , n44 , n45 , n46 , n47 , n48 , n49 , n50 , n51 , n52 , n53 , n54 , n55 , n56 , n57 , n58 );
input n0 , n1 , n2 , n3 , n4 , n6 , n7 , n8 , n9 , n10 , n11 , n12 , n13 , n14 , n15 , n16 , n17 , n18 , n19 , n20 , n21 , n22 , n23 , n24 , n25 , n26 , n27 , n28 , n29 , n30 , n31 , n32 , n33 , n34 , n35 , n36 , n37 , n38 , n39 , n40 , n41 , n42 ;
output n43 , n44 , n45 , n46 , n47 , n48 , n49 , n50 , n51 , n52 , n53 , n54 , n55 , n56 , n57 , n58 ;
wire n118 , n119 , n120 , n121 , n122 , n123 , n124 , n125 , n126 ,
n127 , n128 , n129 , n130 , n131 , n132 , n133 , n134 , n135 , n136 ,
n137 , n138 , n139 , n140 , n141 , n142 , n143 , n144 , n145 , n146 ,
n147 , n148 , n149 , n150 , n151 , n152 , n153 , n154 , n155 , n156 ,
n157 , n158 , n159 , n160 , n161 , n162 , n163 , n164 , n165 , n166 ,
n167 , n168 , n169 , n170 , n171 , n172 , n173 , n174 , n175 , n176 ,
n177 , n178 , n179 , n180 , n181 , n182 , n183 , n184 , n185 , n186 ,
n187 , n188 , n189 , n190 , n191 , n192 , n193 , n194 , n195 , n196 ,
n197 , n198 , n199 , n200 , n201 , n202 , n203 , n204 , n205 , n206 ,
n207 , n208 , n209 , n210 , n211 , n212 , n213 , n214 , n215 , n216 ,
n217 , n218 , n219 , n220 , n221 , n222 , n223 , n224 , n225 , n226 ,
n227 , n228 , n229 , n230 , n231 , n232 , n233 , n234 , n235 , n236 ,
n237 , n238 , n239 , n240 , n241 , n242 , n243 , n244 , n245 , n246 ,
n247 , n248 , n249 , n250 , n251 , n252 , n253 , n254 , n255 , n256 ,
n257 , n258 , n259 , n260 , n261 , n262 , n263 , n264 , n265 , n266 ,
n267 , n268 , n269 , n270 , n271 , n272 , n273 , n274 , n275 , n276 ,
n277 , n278 , n279 , n280 , n281 , n282 , n283 , n284 , n285 , n286 ,
n287 , n288 , n289 , n290 , n291 , n292 , n293 , n294 , n295 , n296 ,
n297 , n298 , n299 , n300 , n301 , n302 , n303 , n304 , n305 , n306 ,
n307 , n308 , n309 , n310 , n311 , n312 , n313 , n314 , n315 , n316 ,
n317 , n318 , n319 , n320 , n321 , n322 , n323 , n324 , n325 , n326 ,
n327 , n328 , n329 , n330 , n331 , n332 , n333 , n334 , n335 , n336 ,
n337 , n338 , n339 , n340 , n341 , n342 , n343 , n344 , n345 , n346 ,
n347 , n348 , n349 , n350 , n351 , n352 , n353 , n354 , n355 , n356 ,
n357 , n358 , n359 , n360 , n361 , n362 , n363 , n364 , n365 , n366 ,
n367 , n368 , n369 , n370 , n371 , n372 , n373 , n374 , n375 , n376 ,
n377 , n378 , n379 , n380 , n381 , n382 , n383 , n384 , n385 , n386 ,
n387 , n388 , n389 , n390 , n391 , n392 , n393 , n394 , n395 , n396 ,
n397 , n398 , n399 , n400 , n401 , n402 , n403 , n404 , n405 , n406 ,
n407 , n408 , n409 , n410 , n411 , n412 , n413 , n414 , n415 , n416 ,
n417 , n418 , n419 , n420 , n421 , n422 , n423 , n424 , n425 , n426 ,
n427 , n428 , n429 , n430 , n431 , n432 , n433 , n434 , n435 , n436 ,
n437 , n438 , n439 , n440 , n441 ;
buf ( n53 , n382 );
buf ( n43 , n386 );
buf ( n58 , n390 );
buf ( n56 , n394 );
buf ( n52 , n398 );
buf ( n51 , n402 );
buf ( n44 , n406 );
buf ( n50 , n410 );
buf ( n45 , n414 );
buf ( n47 , n418 );
buf ( n49 , n422 );
buf ( n55 , n426 );
buf ( n57 , n430 );
buf ( n48 , n434 );
buf ( n54 , n438 );
buf ( n46 , n441 );
buf ( n120 , n4 );
buf ( n121 , n25 );
buf ( n122 , n40 );
buf ( n123 , n24 );
buf ( n124 , n3 );
buf ( n125 , n38 );
buf ( n126 , n9 );
buf ( n127 , n39 );
buf ( n128 , n33 );
buf ( n129 , n14 );
buf ( n130 , n17 );
buf ( n131 , n2 );
buf ( n132 , n15 );
buf ( n133 , n10 );
buf ( n134 , n37 );
buf ( n135 , n32 );
buf ( n136 , n31 );
buf ( n137 , n19 );
buf ( n138 , n8 );
buf ( n139 , n7 );
buf ( n140 , n18 );
buf ( n141 , n21 );
buf ( n142 , n12 );
buf ( n143 , n23 );
buf ( n144 , n34 );
buf ( n145 , n36 );
buf ( n146 , n1 );
buf ( n147 , n42 );
buf ( n148 , n11 );
buf ( n149 , n30 );
buf ( n150 , n20 );
buf ( n151 , n35 );
buf ( n152 , n26 );
buf ( n153 , n13 );
buf ( n154 , n16 );
buf ( n155 , n0 );
buf ( n156 , n41 );
buf ( n157 , n28 );
buf ( n158 , n22 );
buf ( n159 , n27 );
buf ( n160 , n29 );
buf ( n161 , n6 );
buf ( n162 , n120 );
buf ( n163 , n121 );
buf ( n164 , n122 );
buf ( n165 , n123 );
buf ( n166 , n152 );
and ( n167 , n165 , n166 );
buf ( n168 , n124 );
buf ( n169 , n153 );
and ( n170 , n168 , n169 );
buf ( n171 , n125 );
buf ( n172 , n154 );
and ( n173 , n171 , n172 );
buf ( n174 , n126 );
buf ( n175 , n155 );
and ( n176 , n174 , n175 );
buf ( n177 , n127 );
buf ( n178 , n156 );
and ( n179 , n177 , n178 );
buf ( n180 , n128 );
buf ( n181 , n157 );
and ( n182 , n180 , n181 );
buf ( n183 , n129 );
buf ( n184 , n158 );
and ( n185 , n183 , n184 );
buf ( n186 , n130 );
buf ( n187 , n159 );
and ( n188 , n186 , n187 );
buf ( n189 , n131 );
buf ( n190 , n160 );
and ( n191 , n189 , n190 );
buf ( n192 , n132 );
buf ( n193 , n161 );
and ( n194 , n192 , n193 );
buf ( n195 , n133 );
buf ( n196 , n134 );
buf ( n197 , n135 );
or ( n198 , n196 , n197 );
or ( n199 , n195 , n198 );
and ( n200 , n193 , n199 );
and ( n201 , n192 , n199 );
or ( n202 , n194 , n200 , n201 );
and ( n203 , n190 , n202 );
and ( n204 , n189 , n202 );
or ( n205 , n191 , n203 , n204 );
and ( n206 , n187 , n205 );
and ( n207 , n186 , n205 );
or ( n208 , n188 , n206 , n207 );
and ( n209 , n184 , n208 );
and ( n210 , n183 , n208 );
or ( n211 , n185 , n209 , n210 );
and ( n212 , n181 , n211 );
and ( n213 , n180 , n211 );
or ( n214 , n182 , n212 , n213 );
and ( n215 , n178 , n214 );
and ( n216 , n177 , n214 );
or ( n217 , n179 , n215 , n216 );
and ( n218 , n175 , n217 );
and ( n219 , n174 , n217 );
or ( n220 , n176 , n218 , n219 );
and ( n221 , n172 , n220 );
and ( n222 , n171 , n220 );
or ( n223 , n173 , n221 , n222 );
and ( n224 , n169 , n223 );
and ( n225 , n168 , n223 );
or ( n226 , n170 , n224 , n225 );
and ( n227 , n166 , n226 );
and ( n228 , n165 , n226 );
or ( n229 , n167 , n227 , n228 );
and ( n230 , n164 , n229 );
and ( n231 , n163 , n230 );
xor ( n232 , n162 , n231 );
buf ( n233 , n232 );
buf ( n234 , n233 );
buf ( n235 , n136 );
not ( n236 , n235 );
xor ( n237 , n234 , n236 );
xor ( n238 , n163 , n230 );
buf ( n239 , n238 );
buf ( n240 , n239 );
buf ( n241 , n137 );
not ( n242 , n241 );
and ( n243 , n240 , n242 );
xor ( n244 , n164 , n229 );
buf ( n245 , n244 );
buf ( n246 , n245 );
buf ( n247 , n138 );
not ( n248 , n247 );
and ( n249 , n246 , n248 );
xor ( n250 , n165 , n166 );
xor ( n251 , n250 , n226 );
buf ( n252 , n251 );
buf ( n253 , n252 );
buf ( n254 , n139 );
not ( n255 , n254 );
and ( n256 , n253 , n255 );
xor ( n257 , n168 , n169 );
xor ( n258 , n257 , n223 );
buf ( n259 , n258 );
buf ( n260 , n259 );
buf ( n261 , n140 );
not ( n262 , n261 );
and ( n263 , n260 , n262 );
xor ( n264 , n171 , n172 );
xor ( n265 , n264 , n220 );
buf ( n266 , n265 );
buf ( n267 , n266 );
buf ( n268 , n141 );
not ( n269 , n268 );
and ( n270 , n267 , n269 );
xor ( n271 , n174 , n175 );
xor ( n272 , n271 , n217 );
buf ( n273 , n272 );
buf ( n274 , n273 );
buf ( n275 , n142 );
not ( n276 , n275 );
and ( n277 , n274 , n276 );
xor ( n278 , n177 , n178 );
xor ( n279 , n278 , n214 );
buf ( n280 , n279 );
buf ( n281 , n280 );
buf ( n282 , n143 );
not ( n283 , n282 );
and ( n284 , n281 , n283 );
xor ( n285 , n180 , n181 );
xor ( n286 , n285 , n211 );
buf ( n287 , n286 );
buf ( n288 , n287 );
buf ( n289 , n144 );
not ( n290 , n289 );
and ( n291 , n288 , n290 );
xor ( n292 , n183 , n184 );
xor ( n293 , n292 , n208 );
buf ( n294 , n293 );
buf ( n295 , n294 );
buf ( n296 , n145 );
not ( n297 , n296 );
and ( n298 , n295 , n297 );
xor ( n299 , n186 , n187 );
xor ( n300 , n299 , n205 );
buf ( n301 , n300 );
buf ( n302 , n301 );
buf ( n303 , n146 );
not ( n304 , n303 );
and ( n305 , n302 , n304 );
xor ( n306 , n189 , n190 );
xor ( n307 , n306 , n202 );
buf ( n308 , n307 );
buf ( n309 , n308 );
buf ( n310 , n147 );
not ( n311 , n310 );
and ( n312 , n309 , n311 );
xor ( n313 , n192 , n193 );
xor ( n314 , n313 , n199 );
buf ( n315 , n314 );
buf ( n316 , n315 );
buf ( n317 , n148 );
not ( n318 , n317 );
and ( n319 , n316 , n318 );
xnor ( n320 , n195 , n198 );
buf ( n321 , n320 );
buf ( n322 , n321 );
buf ( n323 , n149 );
not ( n324 , n323 );
and ( n325 , n322 , n324 );
xnor ( n326 , n196 , n197 );
buf ( n327 , n326 );
buf ( n328 , n327 );
buf ( n329 , n150 );
not ( n330 , n329 );
and ( n331 , n328 , n330 );
not ( n332 , n197 );
buf ( n333 , n332 );
buf ( n334 , n333 );
buf ( n335 , n151 );
not ( n336 , n335 );
or ( n337 , n334 , n336 );
and ( n338 , n330 , n337 );
and ( n339 , n328 , n337 );
or ( n340 , n331 , n338 , n339 );
and ( n341 , n324 , n340 );
and ( n342 , n322 , n340 );
or ( n343 , n325 , n341 , n342 );
and ( n344 , n318 , n343 );
and ( n345 , n316 , n343 );
or ( n346 , n319 , n344 , n345 );
and ( n347 , n311 , n346 );
and ( n348 , n309 , n346 );
or ( n349 , n312 , n347 , n348 );
and ( n350 , n304 , n349 );
and ( n351 , n302 , n349 );
or ( n352 , n305 , n350 , n351 );
and ( n353 , n297 , n352 );
and ( n354 , n295 , n352 );
or ( n355 , n298 , n353 , n354 );
and ( n356 , n290 , n355 );
and ( n357 , n288 , n355 );
or ( n358 , n291 , n356 , n357 );
and ( n359 , n283 , n358 );
and ( n360 , n281 , n358 );
or ( n361 , n284 , n359 , n360 );
and ( n362 , n276 , n361 );
and ( n363 , n274 , n361 );
or ( n364 , n277 , n362 , n363 );
and ( n365 , n269 , n364 );
and ( n366 , n267 , n364 );
or ( n367 , n270 , n365 , n366 );
and ( n368 , n262 , n367 );
and ( n369 , n260 , n367 );
or ( n370 , n263 , n368 , n369 );
and ( n371 , n255 , n370 );
and ( n372 , n253 , n370 );
or ( n373 , n256 , n371 , n372 );
and ( n374 , n248 , n373 );
and ( n375 , n246 , n373 );
or ( n376 , n249 , n374 , n375 );
and ( n377 , n242 , n376 );
and ( n378 , n240 , n376 );
or ( n379 , n243 , n377 , n378 );
xor ( n380 , n237 , n379 );
buf ( n381 , n380 );
buf ( n382 , n381 );
xor ( n383 , n240 , n242 );
xor ( n384 , n383 , n376 );
buf ( n385 , n384 );
buf ( n386 , n385 );
xor ( n387 , n246 , n248 );
xor ( n388 , n387 , n373 );
buf ( n389 , n388 );
buf ( n390 , n389 );
xor ( n391 , n253 , n255 );
xor ( n392 , n391 , n370 );
buf ( n393 , n392 );
buf ( n394 , n393 );
xor ( n395 , n260 , n262 );
xor ( n396 , n395 , n367 );
buf ( n397 , n396 );
buf ( n398 , n397 );
xor ( n399 , n267 , n269 );
xor ( n400 , n399 , n364 );
buf ( n401 , n400 );
buf ( n402 , n401 );
xor ( n403 , n274 , n276 );
xor ( n404 , n403 , n361 );
buf ( n405 , n404 );
buf ( n406 , n405 );
xor ( n407 , n281 , n283 );
xor ( n408 , n407 , n358 );
buf ( n409 , n408 );
buf ( n410 , n409 );
xor ( n411 , n288 , n290 );
xor ( n412 , n411 , n355 );
buf ( n413 , n412 );
buf ( n414 , n413 );
xor ( n415 , n295 , n297 );
xor ( n416 , n415 , n352 );
buf ( n417 , n416 );
buf ( n418 , n417 );
xor ( n419 , n302 , n304 );
xor ( n420 , n419 , n349 );
buf ( n421 , n420 );
buf ( n422 , n421 );
xor ( n423 , n309 , n311 );
xor ( n424 , n423 , n346 );
buf ( n425 , n424 );
buf ( n426 , n425 );
xor ( n427 , n316 , n318 );
xor ( n428 , n427 , n343 );
buf ( n429 , n428 );
buf ( n430 , n429 );
xor ( n431 , n322 , n324 );
xor ( n432 , n431 , n340 );
buf ( n433 , n432 );
buf ( n434 , n433 );
xor ( n435 , n328 , n330 );
xor ( n436 , n435 , n337 );
buf ( n437 , n436 );
buf ( n438 , n437 );
xor ( n439 , n334 , n335 );
buf ( n440 , n439 );
buf ( n441 , n440 );
endmodule

View File

@ -0,0 +1,278 @@
//
// Conformal-LEC Version 16.10-d160 ( 04-Jul-2016 ) ( 64 bit executable )
//
module top ( n0 , n1 , n2 , n3 , n4 , n5 , n6 , n7 , n8 , n9 , n10 , n11 , n12 , n13 , n14 , n15 , n16 , n17 , n18 , n19 , n20 , n21 , n22 , n23 , n24 , n25 , n26 , n27 , n28 , n29 , n30 , n31 , n32 , n33 , n34 , n35 , n36 , n37 , n38 , n39 , n40 , n41 , n42 , n43 );
input n0 , n1 , n2 , n3 , n4 , n5 , n6 , n7 , n8 , n9 , n10 , n11 , n12 , n13 , n14 , n15 , n16 , n17 , n18 , n19 , n20 , n21 , n22 , n23 , n24 , n25 , n26 , n27 , n28 , n29 , n30 , n31 , n32 , n33 ;
output n34 , n35 , n36 , n37 , n38 , n39 , n40 , n41 , n42 , n43 ;
wire n88 , n89 , n90 , n91 , n92 , n93 , n94 , n95 , n96 ,
n97 , n98 , n99 , n100 , n101 , n102 , n103 , n104 , n105 , n106 ,
n107 , n108 , n109 , n110 , n111 , n112 , n113 , n114 , n115 , n116 ,
n117 , n118 , n119 , n120 , n121 , n122 , n123 , n124 , n125 , n126 ,
n127 , n128 , n129 , n130 , n131 , n132 , n133 , n134 , n135 , n136 ,
n137 , n138 , n139 , n140 , n141 , n142 , n143 , n144 , n145 , n146 ,
n147 , n148 , n149 , n150 , n151 , n152 , n153 , n154 , n155 , n156 ,
n157 , n158 , n159 , n160 , n161 , n162 , n163 , n164 , n165 , n166 ,
n167 , n168 , n169 , n170 , n171 , n172 , n173 , n174 , n175 , n176 ,
n177 , n178 , n179 , n180 , n181 , n182 , n183 , n184 , n185 , n186 ,
n187 , n188 , n189 , n190 , n191 , n192 , n193 , n194 , n195 , n196 ,
n197 , n198 , n199 , n200 , n201 , n202 , n203 , n204 , n205 , n206 ,
n207 , n208 , n209 , n210 , n211 , n212 , n213 , n214 , n215 , n216 ,
n217 , n218 , n219 , n220 , n221 , n222 , n223 , n224 , n225 , n226 ,
n227 , n228 , n229 , n230 , n231 , n232 , n233 , n234 , n235 , n236 ,
n237 , n238 , n239 , n240 , n241 , n242 , n243 , n244 , n245 , n246 ,
n247 , n248 , n249 , n250 , n251 , n252 , n253 , n254 , n255 , n256 ,
n257 , n258 , n259 , n260 , n261 , n262 , n263 , n264 , n265 , n266 ,
n267 , n268 , n269 , n270 , n271 , n272 , n273 , n274 , n275 , n276 ,
n277 , n278 , n279 , n280 , n281 , n282 , n283 , n284 , n285 , n286 ,
n287 , n288 , n289 , n290 , n291 , n292 , n293 , n294 , n295 , n296 ,
n297 , n298 , n299 , n300 , n301 , n302 , n303 , n304 , n305 , n306 ,
n307 , n308 , n309 , n310 , n311 , n312 , n313 , n314 , n315 , n316 ,
n317 , n318 , n319 , n320 , n321 , n322 , n323 , n324 , n325 , n326 ,
n327 , n328 , n329 , n330 , n331 , n332 , n333 , n334 , n335 , n336 ,
n337 , n338 , n339 , n340 , n341 , n342 , n343 , n344 , n345 , n346 ,
n347 , n348 , n349 , n350 , n351 , n352 , n353 , n354 , n355 , n356 ;
buf ( n39 , n203 );
buf ( n42 , n220 );
buf ( n35 , n237 );
buf ( n43 , n254 );
buf ( n40 , n271 );
buf ( n38 , n288 );
buf ( n34 , n305 );
buf ( n36 , n315 );
buf ( n37 , n334 );
buf ( n41 , n348 );
buf ( n125 , n0 );
buf ( n126 , n29 );
buf ( n127 , n7 );
buf ( n128 , n14 );
buf ( n129 , n24 );
buf ( n130 , n4 );
buf ( n131 , n21 );
buf ( n132 , n28 );
buf ( n133 , n13 );
buf ( n134 , n30 );
buf ( n135 , n10 );
buf ( n136 , n31 );
buf ( n137 , n3 );
buf ( n138 , n27 );
buf ( n139 , n6 );
buf ( n140 , n9 );
buf ( n141 , n11 );
buf ( n142 , n25 );
buf ( n143 , n8 );
buf ( n144 , n33 );
buf ( n145 , n2 );
buf ( n146 , n32 );
buf ( n147 , n18 );
buf ( n148 , n19 );
buf ( n149 , n15 );
buf ( n150 , n20 );
buf ( n151 , n26 );
buf ( n152 , n22 );
buf ( n153 , n23 );
buf ( n154 , n16 );
buf ( n155 , n17 );
buf ( n156 , n12 );
buf ( n157 , n5 );
not ( n158 , n155 );
not ( n159 , n146 );
not ( n160 , n147 );
not ( n161 , n148 );
not ( n162 , n149 );
not ( n163 , n151 );
not ( n164 , n152 );
nor ( n165 , n159 , n160 , n161 , n162 , n150 , n163 , n164 , n153 , n154 );
not ( n166 , n165 );
and ( n167 , n166 , n125 );
not ( n168 , n135 );
and ( n169 , n168 , n125 );
buf ( n170 , n125 );
buf ( n171 , n126 );
buf ( n172 , n127 );
buf ( n173 , n128 );
buf ( n174 , n129 );
buf ( n175 , n130 );
buf ( n176 , n131 );
buf ( n177 , n132 );
buf ( n178 , n133 );
buf ( n179 , n134 );
and ( n180 , n178 , n179 );
and ( n181 , n177 , n180 );
and ( n182 , n176 , n181 );
and ( n183 , n175 , n182 );
and ( n184 , n174 , n183 );
and ( n185 , n173 , n184 );
and ( n186 , n172 , n185 );
and ( n187 , n171 , n186 );
xor ( n188 , n170 , n187 );
buf ( n189 , n188 );
and ( n190 , n189 , n135 );
or ( n191 , n169 , n190 );
not ( n192 , n138 );
not ( n193 , n139 );
not ( n194 , n145 );
or ( n195 , n136 , n137 , n192 , n193 , n140 , n141 , n142 , n143 , n144 , n194 );
and ( n196 , n191 , n195 );
or ( n197 , 1'b0 , n196 );
and ( n198 , n197 , n165 );
or ( n199 , n167 , n198 );
and ( n200 , n158 , n199 );
or ( n201 , n200 , 1'b0 );
buf ( n202 , n201 );
buf ( n203 , n202 );
not ( n204 , n155 );
not ( n205 , n165 );
and ( n206 , n205 , n126 );
not ( n207 , n135 );
and ( n208 , n207 , n126 );
xor ( n209 , n171 , n186 );
buf ( n210 , n209 );
and ( n211 , n210 , n135 );
or ( n212 , n208 , n211 );
and ( n213 , n212 , n195 );
or ( n214 , 1'b0 , n213 );
and ( n215 , n214 , n165 );
or ( n216 , n206 , n215 );
and ( n217 , n204 , n216 );
or ( n218 , n217 , 1'b0 );
buf ( n219 , n218 );
buf ( n220 , n219 );
not ( n221 , n155 );
not ( n222 , n165 );
and ( n223 , n222 , n127 );
not ( n224 , n135 );
and ( n225 , n224 , n127 );
xor ( n226 , n172 , n185 );
buf ( n227 , n226 );
and ( n228 , n227 , n135 );
or ( n229 , n225 , n228 );
and ( n230 , n229 , n195 );
or ( n231 , 1'b0 , n230 );
and ( n232 , n231 , n165 );
or ( n233 , n223 , n232 );
and ( n234 , n221 , n233 );
or ( n235 , n234 , 1'b0 );
buf ( n236 , n235 );
buf ( n237 , n236 );
not ( n238 , n155 );
not ( n239 , n165 );
and ( n240 , n239 , n128 );
not ( n241 , n135 );
and ( n242 , n241 , n128 );
xor ( n243 , n173 , n184 );
buf ( n244 , n243 );
and ( n245 , n244 , n135 );
or ( n246 , n242 , n245 );
and ( n247 , n246 , n195 );
or ( n248 , 1'b0 , n247 );
and ( n249 , n248 , n165 );
or ( n250 , n240 , n249 );
and ( n251 , n238 , n250 );
or ( n252 , n251 , 1'b0 );
buf ( n253 , n252 );
buf ( n254 , n253 );
not ( n255 , n155 );
not ( n256 , n165 );
and ( n257 , n256 , n129 );
not ( n258 , n135 );
and ( n259 , n258 , n129 );
xor ( n260 , n174 , n183 );
buf ( n261 , n260 );
and ( n262 , n261 , n135 );
or ( n263 , n259 , n262 );
and ( n264 , n263 , n195 );
or ( n265 , 1'b0 , n264 );
and ( n266 , n265 , n165 );
or ( n267 , n257 , n266 );
and ( n268 , n255 , n267 );
or ( n269 , n268 , 1'b0 );
buf ( n270 , n269 );
buf ( n271 , n270 );
not ( n272 , n155 );
not ( n273 , n165 );
and ( n274 , n273 , n130 );
not ( n275 , n135 );
and ( n276 , n275 , n130 );
xor ( n277 , n175 , n182 );
buf ( n278 , n277 );
and ( n279 , n278 , n135 );
or ( n280 , n276 , n279 );
and ( n281 , n280 , n195 );
or ( n282 , 1'b0 , n281 );
and ( n283 , n282 , n165 );
or ( n284 , n274 , n283 );
and ( n285 , n272 , n284 );
or ( n286 , n285 , 1'b0 );
buf ( n287 , n286 );
buf ( n288 , n287 );
not ( n289 , n155 );
not ( n290 , n165 );
and ( n291 , n290 , n131 );
not ( n292 , n135 );
and ( n293 , n292 , n131 );
xor ( n294 , n176 , n181 );
buf ( n295 , n294 );
and ( n296 , n295 , n135 );
or ( n297 , n293 , n296 );
and ( n298 , n297 , n195 );
or ( n299 , 1'b0 , n298 );
and ( n300 , n299 , n165 );
or ( n301 , n291 , n300 );
and ( n302 , n289 , n301 );
or ( n303 , n302 , 1'b0 );
buf ( n304 , n303 );
buf ( n305 , n304 );
or ( n306 , n155 , n165 );
not ( n307 , n306 );
nor ( n308 , n159 , n160 , n161 , n162 , n150 , n151 , n152 , n153 , n154 );
not ( n309 , n308 );
and ( n310 , n156 , n309 );
and ( n311 , n307 , n310 );
and ( n312 , 1'b1 , n306 );
or ( n313 , n311 , n312 );
buf ( n314 , n313 );
buf ( n315 , n314 );
not ( n316 , n155 );
not ( n317 , n165 );
and ( n318 , n317 , n157 );
nor ( n319 , n136 , n137 , n192 , n193 , n140 , n141 , n142 , n143 , n144 , n145 );
not ( n320 , n319 );
not ( n321 , n137 );
nor ( n322 , n136 , n321 , n138 , n193 , n140 , n141 , n142 , n143 , n144 , n145 );
not ( n323 , n322 );
and ( n324 , n157 , n323 );
and ( n325 , n320 , n324 );
and ( n326 , 1'b1 , n319 );
or ( n327 , n325 , n326 );
and ( n328 , n327 , n165 );
or ( n329 , n318 , n328 );
and ( n330 , n316 , n329 );
and ( n331 , 1'b1 , n155 );
or ( n332 , n330 , n331 );
buf ( n333 , n332 );
buf ( n334 , n333 );
not ( n335 , n155 );
not ( n336 , n165 );
and ( n337 , n336 , n136 );
buf ( n338 , n136 );
not ( n339 , n338 );
buf ( n340 , n339 );
and ( n341 , n340 , n195 );
or ( n342 , 1'b0 , n341 );
and ( n343 , n342 , n165 );
or ( n344 , n337 , n343 );
and ( n345 , n335 , n344 );
or ( n346 , n345 , 1'b0 );
buf ( n347 , n346 );
buf ( n348 , n347 );
not ( n349 , n195 );
not ( n350 , n195 );
not ( n351 , n195 );
not ( n352 , n195 );
not ( n353 , n195 );
not ( n354 , n195 );
not ( n355 , n195 );
not ( n356 , n195 );
endmodule

View File

@ -0,0 +1,43 @@
//
// Conformal-LEC Version 16.10-d160 ( 04-Jul-2016 ) ( 64 bit executable )
//
module top ( n0 , n1 , n2 , n3 , n4 , n5 , n6 , n7 , n8 , n9 , n10 , n11 , n12 , n13 , n14 , n15 );
input n0 , n1 , n2 , n3 , n4 , n5 , n6 , n7 ;
output n8 , n9 , n10 , n11 , n12 , n13 , n14 , n15 ;
wire n32 , n33 , n34 , n35 , n36 , n37 , n38 , n39 , n40 ,
n41 , n42 , n43 , n44 , n45 , n46 , n47 , n48 , n49 , n50 ,
n51 , n52 , n53 , n54 , n55 , n56 , n57 , n58 , n59 ;
buf ( n15 , n43 );
buf ( n10 , n46 );
buf ( n12 , n49 );
buf ( n11 , n52 );
buf ( n8 , n55 );
buf ( n14 , n58 );
buf ( n9 , n59 );
buf ( n13 , 1'b1 );
buf ( n37 , n6 );
buf ( n38 , n7 );
buf ( n39 , n3 );
buf ( n40 , n0 );
buf ( n41 , n5 );
buf ( n42 , n4 );
buf ( n43 , n37 );
xor ( n44 , n38 , n37 );
buf ( n45 , n44 );
buf ( n46 , n45 );
xor ( n47 , n39 , n38 );
buf ( n48 , n47 );
buf ( n49 , n48 );
xor ( n50 , n40 , n39 );
buf ( n51 , n50 );
buf ( n52 , n51 );
xor ( n53 , n41 , n40 );
buf ( n54 , n53 );
buf ( n55 , n54 );
xor ( n56 , n42 , n41 );
buf ( n57 , n56 );
buf ( n58 , n57 );
buf ( n59 , n42 );
endmodule

View File

@ -0,0 +1,309 @@
//
// Conformal-LEC Version 16.10-d160 ( 04-Jul-2016 ) ( 64 bit executable )
//
module top ( n0 , n1 , n2 , n3 , n4 , n5 , n6 , n7 , n8 , n9 , n10 , n11 , n12 , n13 , n14 , n15 , n16 , n17 , n18 , n19 , n20 , n21 , n22 , n23 , n24 , n25 , n26 , n27 , n28 , n29 , n30 , n31 , n32 , n33 , n34 , n35 , n36 , n37 , n38 , n39 , n40 , n41 , n42 , n43 , n44 , n45 , n46 , n47 , n48 , n49 , n50 , n51 , n52 , n53 , n54 , n55 , n56 , n57 , n58 , n59 , n60 , n61 , n62 , n63 , n64 , n65 , n66 , n67 );
input n0 , n1 , n2 , n3 , n4 , n5 , n6 , n7 , n8 , n9 , n10 , n11 , n12 , n13 , n14 , n15 , n16 , n17 , n18 , n19 , n20 , n21 , n22 , n23 , n24 , n25 , n26 , n27 , n28 , n29 , n30 , n31 , n32 , n33 , n34 , n35 , n36 , n37 , n38 , n39 , n40 , n41 , n42 , n43 , n44 , n45 , n46 , n47 , n48 , n49 , n50 , n51 , n52 , n53 , n54 , n55 , n56 , n57 ;
output n58 , n59 , n60 , n61 , n62 , n63 , n64 , n65 , n66 , n67 ;
wire n136 , n137 , n138 , n139 , n140 , n141 , n142 , n143 , n144 ,
n145 , n146 , n147 , n148 , n149 , n150 , n151 , n152 , n153 , n154 ,
n155 , n156 , n157 , n158 , n159 , n160 , n161 , n162 , n163 , n164 ,
n165 , n166 , n167 , n168 , n169 , n170 , n171 , n172 , n173 , n174 ,
n175 , n176 , n177 , n178 , n179 , n180 , n181 , n182 , n183 , n184 ,
n185 , n186 , n187 , n188 , n189 , n190 , n191 , n192 , n193 , n194 ,
n195 , n196 , n197 , n198 , n199 , n200 , n201 , n202 , n203 , n204 ,
n205 , n206 , n207 , n208 , n209 , n210 , n211 , n212 , n213 , n214 ,
n215 , n216 , n217 , n218 , n219 , n220 , n221 , n222 , n223 , n224 ,
n225 , n226 , n227 , n228 , n229 , n230 , n231 , n232 , n233 , n234 ,
n235 , n236 , n237 , n238 , n239 , n240 , n241 , n242 , n243 , n244 ,
n245 , n246 , n247 , n248 , n249 , n250 , n251 , n252 , n253 , n254 ,
n255 , n256 , n257 , n258 , n259 , n260 , n261 , n262 , n263 , n264 ,
n265 , n266 , n267 , n268 , n269 , n270 , n271 , n272 , n273 , n274 ,
n275 , n276 , n277 , n278 , n279 , n280 , n281 , n282 , n283 , n284 ,
n285 , n286 , n287 , n288 , n289 , n290 , n291 , n292 , n293 , n294 ,
n295 , n296 , n297 , n298 , n299 , n300 , n301 , n302 , n303 , n304 ,
n305 , n306 , n307 , n308 , n309 , n310 , n311 , n312 , n313 , n314 ,
n315 , n316 , n317 , n318 , n319 , n320 , n321 , n322 , n323 , n324 ,
n325 , n326 , n327 , n328 , n329 , n330 , n331 , n332 , n333 , n334 ,
n335 , n336 , n337 , n338 , n339 , n340 , n341 , n342 , n343 , n344 ,
n345 , n346 , n347 , n348 , n349 , n350 , n351 , n352 , n353 , n354 ,
n355 , n356 , n357 , n358 , n359 , n360 , n361 , n362 , n363 , n364 ,
n365 , n366 , n367 , n368 , n369 , n370 , n371 , n372 , n373 , n374 ,
n375 , n376 , n377 , n378 , n379 , n380 , n381 , n382 , n383 , n384 ,
n385 , n386 , n387 , n388 , n389 , n390 , n391 , n392 , n393 , n394 ,
n395 , n396 , n397 , n398 , n399 , n400 , n401 , n402 , n403 , n404 ,
n405 , n406 , n407 , n408 , n409 , n410 , n411 , n412 , n413 , n414 ,
n415 , n416 , n417 , n418 , n419 , n420 , n421 , n422 , n423 , n424 ,
n425 , n426 , n427 , n428 , n429 , n430 , n431 , n432 , n433 , n434 ,
n435 , n436 , n437 , n438 , n439 , n440 , n441 , n442 , n443 ;
buf ( n61 , n320 );
buf ( n58 , n325 );
buf ( n62 , n334 );
buf ( n65 , n338 );
buf ( n60 , n343 );
buf ( n64 , n359 );
buf ( n59 , n371 );
buf ( n67 , n390 );
buf ( n66 , n408 );
buf ( n63 , n443 );
buf ( n185 , n34 );
buf ( n186 , n44 );
buf ( n187 , n52 );
buf ( n188 , n25 );
buf ( n189 , n33 );
buf ( n190 , n2 );
buf ( n191 , n3 );
buf ( n192 , n40 );
buf ( n193 , n49 );
buf ( n194 , n15 );
buf ( n195 , n11 );
buf ( n196 , n21 );
buf ( n197 , n10 );
buf ( n198 , n38 );
buf ( n199 , n43 );
buf ( n200 , n16 );
buf ( n201 , n5 );
buf ( n202 , n24 );
buf ( n203 , n31 );
buf ( n204 , n35 );
buf ( n205 , n9 );
buf ( n206 , n20 );
buf ( n207 , n48 );
buf ( n208 , n14 );
buf ( n209 , n46 );
buf ( n210 , n57 );
buf ( n211 , n19 );
buf ( n212 , n17 );
buf ( n213 , n4 );
buf ( n214 , n0 );
buf ( n215 , n13 );
buf ( n216 , n28 );
buf ( n217 , n50 );
buf ( n218 , n42 );
buf ( n219 , n37 );
buf ( n220 , n26 );
buf ( n221 , n54 );
buf ( n222 , n7 );
buf ( n223 , n30 );
buf ( n224 , n6 );
buf ( n225 , n23 );
buf ( n226 , n41 );
buf ( n227 , n56 );
buf ( n228 , n47 );
buf ( n229 , n45 );
buf ( n230 , n39 );
buf ( n231 , n18 );
buf ( n232 , n55 );
buf ( n233 , n27 );
buf ( n234 , n53 );
buf ( n235 , n8 );
buf ( n236 , n32 );
buf ( n237 , n36 );
buf ( n238 , n22 );
buf ( n239 , n51 );
buf ( n240 , n12 );
buf ( n241 , n1 );
buf ( n242 , n29 );
nor ( n243 , n210 , n211 , n212 , n213 );
not ( n244 , n243 );
and ( n245 , n244 , n185 );
not ( n246 , n220 );
not ( n247 , n215 );
not ( n248 , n185 );
not ( n249 , n208 );
and ( n250 , n188 , n189 , n190 , n191 );
and ( n251 , n187 , n250 );
not ( n252 , n188 );
and ( n253 , n252 , n189 , n190 , n191 );
and ( n254 , n192 , n253 );
not ( n255 , n189 );
and ( n256 , n188 , n255 , n190 , n191 );
and ( n257 , n193 , n256 );
and ( n258 , n252 , n255 , n190 , n191 );
and ( n259 , n194 , n258 );
not ( n260 , n190 );
and ( n261 , n188 , n189 , n260 , n191 );
and ( n262 , n195 , n261 );
and ( n263 , n252 , n189 , n260 , n191 );
and ( n264 , n196 , n263 );
and ( n265 , n188 , n255 , n260 , n191 );
and ( n266 , n197 , n265 );
and ( n267 , n252 , n255 , n260 , n191 );
and ( n268 , n198 , n267 );
nor ( n269 , n252 , n255 , n260 , n191 );
and ( n270 , n199 , n269 );
nor ( n271 , n188 , n255 , n260 , n191 );
and ( n272 , n200 , n271 );
nor ( n273 , n252 , n189 , n260 , n191 );
and ( n274 , n201 , n273 );
nor ( n275 , n188 , n189 , n260 , n191 );
and ( n276 , n202 , n275 );
nor ( n277 , n252 , n255 , n190 , n191 );
and ( n278 , n203 , n277 );
nor ( n279 , n188 , n255 , n190 , n191 );
and ( n280 , n204 , n279 );
nor ( n281 , n252 , n189 , n190 , n191 );
and ( n282 , n205 , n281 );
nor ( n283 , n188 , n189 , n190 , n191 );
and ( n284 , n206 , n283 );
or ( n285 , n251 , n254 , n257 , n259 , n262 , n264 , n266 , n268 , n270 , n272 , n274 , n276 , n278 , n280 , n282 , n284 );
and ( n286 , n249 , n285 );
and ( n287 , n207 , n208 );
or ( n288 , n286 , n287 );
xor ( n289 , n248 , n288 );
xor ( n290 , n186 , n289 );
and ( n291 , n210 , n211 , n212 , n213 );
not ( n292 , n291 );
and ( n293 , n292 , n209 );
and ( n294 , n248 , n291 );
or ( n295 , n293 , n294 );
xor ( n296 , n290 , n295 );
and ( n297 , n247 , n296 );
and ( n298 , n214 , n215 );
or ( n299 , n297 , n298 );
and ( n300 , n246 , n299 );
not ( n301 , n215 );
xor ( n302 , n185 , n217 );
xor ( n303 , n216 , n302 );
not ( n304 , n291 );
and ( n305 , n304 , n218 );
and ( n306 , n185 , n291 );
or ( n307 , n305 , n306 );
xor ( n308 , n303 , n307 );
and ( n309 , n301 , n308 );
and ( n310 , n219 , n215 );
or ( n311 , n309 , n310 );
not ( n312 , n311 );
and ( n313 , n312 , n220 );
or ( n314 , n300 , n313 );
and ( n315 , n314 , n243 );
or ( n316 , n245 , n315 );
not ( n317 , n221 );
and ( n318 , n316 , n317 );
buf ( n319 , n318 );
buf ( n320 , n319 );
not ( n321 , n221 );
and ( n322 , n321 , n299 );
or ( n323 , n322 , 1'b0 );
buf ( n324 , n323 );
buf ( n325 , n324 );
and ( n326 , n186 , n289 );
and ( n327 , n186 , n295 );
or ( n328 , n326 , n327 );
and ( n329 , n289 , n295 );
or ( n330 , n328 , n329 );
not ( n331 , n221 );
and ( n332 , n330 , n331 );
buf ( n333 , n332 );
buf ( n334 , n333 );
not ( n335 , n221 );
and ( n336 , n288 , n335 );
buf ( n337 , n336 );
buf ( n338 , n337 );
not ( n339 , n221 );
and ( n340 , n339 , n311 );
or ( n341 , n340 , 1'b0 );
buf ( n342 , n341 );
buf ( n343 , n342 );
not ( n344 , n221 );
not ( n345 , n243 );
and ( n346 , n345 , n222 );
buf ( n347 , n273 );
buf ( n348 , n275 );
buf ( n349 , n277 );
buf ( n350 , n279 );
buf ( n351 , n281 );
buf ( n352 , n283 );
or ( n353 , 1'b0 , 1'b0 , 1'b0 , 1'b0 , 1'b0 , 1'b0 , 1'b0 , 1'b0 , n347 , n348 , n349 , n350 , n351 , n352 , 1'b0 );
and ( n354 , n353 , n243 );
or ( n355 , n346 , n354 );
and ( n356 , n344 , n355 );
or ( n357 , n356 , 1'b0 );
buf ( n358 , n357 );
buf ( n359 , n358 );
not ( n360 , n221 );
not ( n361 , n243 );
and ( n362 , n361 , n223 );
buf ( n363 , n283 );
buf ( n364 , n256 );
or ( n365 , 1'b0 , 1'b0 , 1'b0 , 1'b0 , 1'b0 , 1'b0 , 1'b0 , 1'b0 , 1'b0 , 1'b0 , 1'b0 , 1'b0 , 1'b0 , n363 , n364 );
and ( n366 , n365 , n243 );
or ( n367 , n362 , n366 );
and ( n368 , n360 , n367 );
or ( n369 , n368 , 1'b0 );
buf ( n370 , n369 );
buf ( n371 , n370 );
not ( n372 , n221 );
not ( n373 , n243 );
and ( n374 , n373 , n224 );
buf ( n375 , n265 );
buf ( n376 , n267 );
buf ( n377 , n269 );
buf ( n378 , n271 );
buf ( n379 , n273 );
buf ( n380 , n275 );
buf ( n381 , n277 );
buf ( n382 , n281 );
buf ( n383 , n283 );
or ( n384 , 1'b0 , 1'b0 , 1'b0 , 1'b0 , n375 , n376 , n377 , n378 , n379 , n380 , n381 , 1'b0 , n382 , n383 , 1'b0 );
and ( n385 , n384 , n243 );
or ( n386 , n374 , n385 );
and ( n387 , n372 , n386 );
or ( n388 , n387 , 1'b0 );
buf ( n389 , n388 );
buf ( n390 , n389 );
not ( n391 , n221 );
not ( n392 , n243 );
and ( n393 , n392 , n225 );
buf ( n394 , n269 );
buf ( n395 , n271 );
buf ( n396 , n273 );
buf ( n397 , n275 );
buf ( n398 , n277 );
buf ( n399 , n279 );
buf ( n400 , n281 );
buf ( n401 , n283 );
or ( n402 , 1'b0 , 1'b0 , 1'b0 , 1'b0 , 1'b0 , 1'b0 , n394 , n395 , n396 , n397 , n398 , n399 , n400 , n401 , 1'b0 );
and ( n403 , n402 , n243 );
or ( n404 , n393 , n403 );
and ( n405 , n391 , n404 );
or ( n406 , n405 , 1'b0 );
buf ( n407 , n406 );
buf ( n408 , n407 );
not ( n409 , n208 );
and ( n410 , n226 , n250 );
and ( n411 , n227 , n253 );
and ( n412 , n228 , n256 );
and ( n413 , n229 , n258 );
and ( n414 , n230 , n261 );
and ( n415 , n231 , n263 );
and ( n416 , n232 , n265 );
and ( n417 , n233 , n267 );
and ( n418 , n234 , n269 );
and ( n419 , n235 , n271 );
and ( n420 , n236 , n273 );
and ( n421 , n237 , n275 );
and ( n422 , n238 , n277 );
and ( n423 , n239 , n279 );
and ( n424 , n240 , n281 );
and ( n425 , n186 , n283 );
or ( n426 , n410 , n411 , n412 , n413 , n414 , n415 , n416 , n417 , n418 , n419 , n420 , n421 , n422 , n423 , n424 , n425 );
and ( n427 , n409 , n426 );
and ( n428 , n241 , n208 );
or ( n429 , n427 , n428 );
xor ( n430 , n185 , n429 );
and ( n431 , n206 , n430 );
not ( n432 , n291 );
and ( n433 , n432 , n242 );
and ( n434 , n185 , n291 );
or ( n435 , n433 , n434 );
and ( n436 , n206 , n435 );
or ( n437 , n431 , n436 );
and ( n438 , n430 , n435 );
or ( n439 , n437 , n438 );
not ( n440 , n221 );
and ( n441 , n439 , n440 );
buf ( n442 , n441 );
buf ( n443 , n442 );
endmodule

View File

@ -0,0 +1,248 @@
//
// Conformal-LEC Version 16.10-d005 ( 21-Apr-2016 ) ( 64 bit executable )
//
module top ( n0 , n1 , n2 , n3 , n4 , n5 , n6 , n7 , n8 , n9 , n10 , n11 , n12 , n13 , n14 , n15 , n16 , n17 , n18 , n19 , n20 , n21 , n22 , n23 , n24 , n25 , n26 , n27 , n28 , n29 , n30 , n31 , n32 , n33 , n34 , n35 , n36 , n37 , n38 , n39 , n40 , n41 , n42 );
input n0 , n1 , n2 , n3 , n4 , n5 , n6 , n7 , n8 , n9 , n10 , n11 , n12 , n13 , n14 , n15 , n16 , n17 , n18 , n19 , n20 , n21 , n22 , n23 , n24 , n25 , n26 , n27 , n28 , n29 , n30 , n31 , n32 , n33 , n34 , n35 ;
output n36 , n37 , n38 , n39 , n40 , n41 , n42 ;
wire n86 , n87 , n88 , n89 , n90 , n91 , n92 , n93 , n94 ,
n95 , n96 , n97 , n98 , n99 , n100 , n101 , n102 , n103 , n104 ,
n105 , n106 , n107 , n108 , n109 , n110 , n111 , n112 , n113 , n114 ,
n115 , n116 , n117 , n118 , n119 , n120 , n121 , n122 , n123 , n124 ,
n125 , n126 , n127 , n128 , n129 , n130 , n131 , n132 , n133 , n134 ,
n135 , n136 , n137 , n138 , n139 , n140 , n141 , n142 , n143 , n144 ,
n145 , n146 , n147 , n148 , n149 , n150 , n151 , n152 , n153 , n154 ,
n155 , n156 , n157 , n158 , n159 , n160 , n161 , n162 , n163 , n164 ,
n165 , n166 , n167 , n168 , n169 , n170 , n171 , n172 , n173 , n174 ,
n175 , n176 , n177 , n178 , n179 , n180 , n181 , n182 , n183 , n184 ,
n185 , n186 , n187 , n188 , n189 , n190 , n191 , n192 , n193 , n194 ,
n195 , n196 , n197 , n198 , n199 , n200 , n201 , n202 , n203 , n204 ,
n205 , n206 , n207 , n208 , n209 , n210 , n211 , n212 , n213 , n214 ,
n215 , n216 , n217 , n218 , n219 , n220 , n221 , n222 , n223 , n224 ,
n225 , n226 , n227 , n228 , n229 , n230 , n231 , n232 , n233 , n234 ,
n235 , n236 , n237 , n238 , n239 , n240 , n241 , n242 , n243 , n244 ,
n245 , n246 , n247 , n248 , n249 , n250 , n251 , n252 , n253 , n254 ,
n255 , n256 , n257 , n258 , n259 , n260 , n261 , n262 , n263 , n264 ,
n265 , n266 , n267 , n268 , n269 , n270 , n271 , n272 , n273 , n274 ,
n275 , n276 , n277 , n278 , n279 , n280 , n281 , n282 , n283 , n284 ,
n285 , n286 , n287 , n288 , n289 , n290 , n291 , n292 , n293 , n294 ,
n295 , n296 , n297 ;
buf ( n36 , n145 );
buf ( n41 , n186 );
buf ( n39 , n236 );
buf ( n42 , n280 );
buf ( n37 , n285 );
buf ( n38 , n292 );
buf ( n40 , n297 );
buf ( n88 , n35 );
buf ( n89 , n3 );
buf ( n90 , n13 );
buf ( n91 , n25 );
buf ( n92 , n21 );
buf ( n93 , n4 );
buf ( n94 , n33 );
buf ( n95 , n6 );
buf ( n96 , n11 );
buf ( n97 , n15 );
buf ( n98 , n24 );
buf ( n99 , n29 );
buf ( n100 , n19 );
buf ( n101 , n18 );
buf ( n102 , n10 );
buf ( n103 , n0 );
buf ( n104 , n9 );
buf ( n105 , n31 );
buf ( n106 , n5 );
buf ( n107 , n14 );
buf ( n108 , n16 );
buf ( n109 , n12 );
buf ( n110 , n23 );
buf ( n111 , n34 );
buf ( n112 , n28 );
buf ( n113 , n1 );
buf ( n114 , n22 );
buf ( n115 , n8 );
buf ( n116 , n7 );
buf ( n117 , n30 );
buf ( n118 , n27 );
buf ( n119 , n26 );
buf ( n120 , n20 );
buf ( n121 , n17 );
buf ( n122 , n32 );
buf ( n123 , n2 );
not ( n124 , n88 );
nand ( n125 , n124 , n89 );
not ( n126 , n91 );
nand ( n127 , n126 , n93 );
not ( n128 , n95 );
nand ( n129 , n128 , n97 );
not ( n130 , n99 );
nand ( n131 , n130 , n101 );
not ( n132 , n103 );
nand ( n133 , n132 , n105 );
not ( n134 , n107 );
nand ( n135 , n134 , n109 );
not ( n136 , n111 );
nand ( n137 , n136 , n113 );
not ( n138 , n115 );
nand ( n139 , n138 , n117 );
not ( n140 , n119 );
nand ( n141 , n140 , n121 );
and ( n142 , n125 , n127 , n129 , n131 , n133 , n135 , n137 , n139 , n141 );
not ( n143 , n142 );
buf ( n144 , n143 );
buf ( n145 , n144 );
not ( n146 , n142 );
xor ( n147 , n146 , n125 );
not ( n148 , n89 );
nor ( n149 , n90 , n148 );
nand ( n150 , n147 , n149 );
xor ( n151 , n146 , n127 );
not ( n152 , n93 );
nor ( n153 , n94 , n152 );
nand ( n154 , n151 , n153 );
xor ( n155 , n146 , n129 );
not ( n156 , n97 );
nor ( n157 , n98 , n156 );
nand ( n158 , n155 , n157 );
xor ( n159 , n146 , n131 );
not ( n160 , n101 );
nor ( n161 , n102 , n160 );
nand ( n162 , n159 , n161 );
xor ( n163 , n146 , n133 );
not ( n164 , n105 );
nor ( n165 , n106 , n164 );
nand ( n166 , n163 , n165 );
xor ( n167 , n146 , n135 );
not ( n168 , n109 );
nor ( n169 , n110 , n168 );
nand ( n170 , n167 , n169 );
xor ( n171 , n146 , n137 );
not ( n172 , n113 );
nor ( n173 , n114 , n172 );
nand ( n174 , n171 , n173 );
xor ( n175 , n146 , n139 );
not ( n176 , n117 );
nor ( n177 , n118 , n176 );
nand ( n178 , n175 , n177 );
xor ( n179 , n146 , n141 );
not ( n180 , n121 );
nor ( n181 , n122 , n180 );
nand ( n182 , n179 , n181 );
and ( n183 , n150 , n154 , n158 , n162 , n166 , n170 , n174 , n178 , n182 );
not ( n184 , n183 );
buf ( n185 , n184 );
buf ( n186 , n185 );
not ( n187 , n183 );
xor ( n188 , n187 , n150 );
nor ( n189 , n92 , n148 );
nand ( n190 , n147 , n189 );
not ( n191 , n190 );
nand ( n192 , n188 , n191 );
xor ( n193 , n187 , n154 );
nor ( n194 , n96 , n152 );
nand ( n195 , n151 , n194 );
not ( n196 , n195 );
nand ( n197 , n193 , n196 );
xor ( n198 , n187 , n158 );
nor ( n199 , n100 , n156 );
nand ( n200 , n155 , n199 );
not ( n201 , n200 );
nand ( n202 , n198 , n201 );
xor ( n203 , n187 , n162 );
nor ( n204 , n104 , n160 );
nand ( n205 , n159 , n204 );
not ( n206 , n205 );
nand ( n207 , n203 , n206 );
xor ( n208 , n187 , n166 );
nor ( n209 , n108 , n164 );
nand ( n210 , n163 , n209 );
not ( n211 , n210 );
nand ( n212 , n208 , n211 );
xor ( n213 , n187 , n170 );
nor ( n214 , n112 , n168 );
nand ( n215 , n167 , n214 );
not ( n216 , n215 );
nand ( n217 , n213 , n216 );
xor ( n218 , n187 , n174 );
nor ( n219 , n116 , n172 );
nand ( n220 , n171 , n219 );
not ( n221 , n220 );
nand ( n222 , n218 , n221 );
xor ( n223 , n187 , n178 );
nor ( n224 , n120 , n176 );
nand ( n225 , n175 , n224 );
not ( n226 , n225 );
nand ( n227 , n223 , n226 );
xor ( n228 , n187 , n182 );
nor ( n229 , n123 , n180 );
nand ( n230 , n179 , n229 );
not ( n231 , n230 );
nand ( n232 , n228 , n231 );
and ( n233 , n192 , n197 , n202 , n207 , n212 , n217 , n222 , n227 , n232 );
not ( n234 , n233 );
buf ( n235 , n234 );
buf ( n236 , n235 );
not ( n237 , n142 );
nand ( n238 , n88 , n237 );
not ( n239 , n183 );
nand ( n240 , n90 , n239 );
not ( n241 , n233 );
nand ( n242 , n92 , n241 );
nand ( n243 , n89 , n238 , n240 , n242 );
not ( n244 , n243 );
nand ( n245 , n237 , n91 );
nand ( n246 , n239 , n94 );
nand ( n247 , n241 , n96 );
nand ( n248 , n245 , n246 , n247 , n93 );
nand ( n249 , n237 , n95 );
nand ( n250 , n239 , n98 );
nand ( n251 , n241 , n100 );
nand ( n252 , n249 , n250 , n251 , n97 );
nand ( n253 , n237 , n99 );
nand ( n254 , n239 , n102 );
nand ( n255 , n241 , n104 );
nand ( n256 , n253 , n254 , n255 , n101 );
nand ( n257 , n237 , n103 );
nand ( n258 , n239 , n106 );
nand ( n259 , n241 , n108 );
nand ( n260 , n257 , n258 , n259 , n105 );
nand ( n261 , n237 , n107 );
nand ( n262 , n239 , n110 );
nand ( n263 , n241 , n112 );
nand ( n264 , n261 , n262 , n263 , n109 );
nand ( n265 , n237 , n111 );
nand ( n266 , n239 , n114 );
nand ( n267 , n241 , n116 );
nand ( n268 , n265 , n266 , n267 , n113 );
nand ( n269 , n237 , n115 );
nand ( n270 , n239 , n118 );
nand ( n271 , n241 , n120 );
nand ( n272 , n269 , n270 , n271 , n117 );
nand ( n273 , n237 , n119 );
nand ( n274 , n239 , n122 );
nand ( n275 , n241 , n123 );
nand ( n276 , n273 , n274 , n275 , n121 );
and ( n277 , n248 , n252 , n256 , n260 , n264 , n268 , n272 , n276 );
nor ( n278 , n244 , n277 );
buf ( n279 , n278 );
buf ( n280 , n279 );
not ( n281 , n256 );
nand ( n282 , n252 , n281 );
nand ( n283 , n248 , n252 , n282 , n260 );
buf ( n284 , n283 );
buf ( n285 , n284 );
not ( n286 , n264 );
nand ( n287 , n252 , n256 , n286 , n260 );
not ( n288 , n268 );
nand ( n289 , n260 , n256 , n288 );
nand ( n290 , n248 , n252 , n287 , n289 );
buf ( n291 , n290 );
buf ( n292 , n291 );
not ( n293 , n272 );
nand ( n294 , n252 , n256 , n268 , n293 );
nand ( n295 , n248 , n282 , n287 , n294 );
buf ( n296 , n295 );
buf ( n297 , n296 );
endmodule