This commit is contained in:
Ubuntu 2018-11-03 22:34:37 +00:00
parent 3c24e4bef1
commit f18ae210e1
1 changed files with 1 additions and 1 deletions

View File

@ -487,7 +487,7 @@ class BertForQuestionAnswering(nn.Module):
m.weight.data.normal_(config.initializer_range) m.weight.data.normal_(config.initializer_range)
elif isinstance(m, BERTLayerNorm): elif isinstance(m, BERTLayerNorm):
m.beta.data.normal_(config.initializer_range) m.beta.data.normal_(config.initializer_range)
m.gamme.data.normal_(config.initializer_range) m.gamma.data.normal_(config.initializer_range)
if isinstance(m, nn.Linear): if isinstance(m, nn.Linear):
m.bias.data.zero_() m.bias.data.zero_()
self.apply(init_weights) self.apply(init_weights)