diff --git a/build.sc b/build.sc index 2044868..2203116 100644 --- a/build.sc +++ b/build.sc @@ -11,7 +11,7 @@ import mill.bsp._ object playground extends SbtModule with ScalafmtModule { m => val useChisel3 = false override def millSourcePath = os.pwd / "src" - override def scalaVersion = if (useChisel3) "2.13.10" else "2.13.12" + override def scalaVersion = if (useChisel3) "2.13.10" else "2.13.14" override def scalacOptions = Seq( "-language:reflectiveCalls", "-deprecation", @@ -23,11 +23,11 @@ object playground extends SbtModule with ScalafmtModule { m => } override def ivyDeps = Agg( if (useChisel3) ivy"edu.berkeley.cs::chisel3:3.6.0" else - ivy"org.chipsalliance::chisel:6.2.0" + ivy"org.chipsalliance::chisel:6.4.0" ) override def scalacPluginIvyDeps = Agg( if (useChisel3) ivy"edu.berkeley.cs:::chisel3-plugin:3.6.0" else - ivy"org.chipsalliance:::chisel-plugin:6.2.0" + ivy"org.chipsalliance:::chisel-plugin:6.4.0" ) object test extends SbtModuleTests with TestModule.ScalaTest with ScalafmtModule { override def sources = T.sources {